From 1d5f16ba812ca7fd4f16e7d434ba6a76d9ae5a52 Mon Sep 17 00:00:00 2001 From: Daniel Henrique Barboza Date: Mon, 23 Mar 2020 16:40:46 -0300 Subject: [PATCH] qemu: capabilities: update qemu-5.0.0 capabilities for ppc64 Update ppc64 capabilities to pick up the new NVDIMM capability support for ppc64. Since the ppc64 capabilities weren't updated for some time, the bulk of the changes here are related to the blockdev support (see commit c6a9e54ce3 for info) that we are picking up just now. Signed-off-by: Daniel Henrique Barboza Reviewed-by: Michal Privoznik --- tests/domaincapsdata/qemu_5.0.0.ppc64.xml | 2 +- .../caps_5.0.0.ppc64.replies | 19709 ++++++++-------- .../qemucapabilitiesdata/caps_5.0.0.ppc64.xml | 1298 +- ...default-video-type-ppc64.ppc64-latest.args | 2 - ...ault-cpu-kvm-pseries-2.7.ppc64-latest.args | 10 +- ...ault-cpu-kvm-pseries-3.1.ppc64-latest.args | 10 +- ...ault-cpu-kvm-pseries-4.2.ppc64-latest.args | 10 +- ...ault-cpu-tcg-pseries-2.7.ppc64-latest.args | 10 +- ...ault-cpu-tcg-pseries-3.1.ppc64-latest.args | 10 +- ...ault-cpu-tcg-pseries-4.2.ppc64-latest.args | 10 +- .../ppc64-pseries-graphics.ppc64-latest.args | 10 +- .../ppc64-pseries-headless.ppc64-latest.args | 10 +- .../tpm-emulator-spapr.ppc64-latest.args | 9 +- 13 files changed, 11124 insertions(+), 9976 deletions(-) diff --git a/tests/domaincapsdata/qemu_5.0.0.ppc64.xml b/tests/domaincapsdata/qemu_5.0.0.ppc64.xml index 3d7c400dea..697c448dfe 100644 --- a/tests/domaincapsdata/qemu_5.0.0.ppc64.xml +++ b/tests/domaincapsdata/qemu_5.0.0.ppc64.xml @@ -108,7 +108,7 @@ - + diff --git a/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.replies b/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.replies index de4faedd6f..9e54e1f9f6 100644 --- a/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.replies +++ b/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.replies @@ -18,10 +18,10 @@ "return": { "qemu": { "micro": 50, - "minor": 1, + "minor": 2, "major": 4 }, - "package": "v4.1.0-2198-g9e583f2" + "package": "v4.2.0-2387-g3189e9d38c" }, "id": "libvirt-2" } @@ -45,6 +45,9 @@ { "return": [ + { + "name": "object-add" + }, { "name": "netdev_add" }, @@ -126,9 +129,6 @@ { "name": "stop" }, - { - "name": "quit" - }, { "name": "query-pci" }, @@ -138,9 +138,6 @@ { "name": "query-iothreads" }, - { - "name": "query-events" - }, { "name": "query-uuid" }, @@ -153,15 +150,6 @@ { "name": "add_client" }, - { - "name": "query-commands" - }, - { - "name": "query-version" - }, - { - "name": "qmp_capabilities" - }, { "name": "query-cpu-definitions" }, @@ -201,9 +189,6 @@ { "name": "object-del" }, - { - "name": "object-add" - }, { "name": "qom-list-properties" }, @@ -219,6 +204,21 @@ { "name": "qom-list" }, + { + "name": "quit" + }, + { + "name": "query-events" + }, + { + "name": "query-commands" + }, + { + "name": "query-version" + }, + { + "name": "qmp_capabilities" + }, { "name": "trace-event-set-state" }, @@ -393,6 +393,33 @@ { "name": "query-chardev" }, + { + "name": "query-jobs" + }, + { + "name": "job-finalize" + }, + { + "name": "job-dismiss" + }, + { + "name": "job-complete" + }, + { + "name": "job-cancel" + }, + { + "name": "job-resume" + }, + { + "name": "job-pause" + }, + { + "name": "blockdev-snapshot-delete-internal-sync" + }, + { + "name": "blockdev-snapshot-internal-sync" + }, { "name": "nbd-server-stop" }, @@ -405,18 +432,6 @@ { "name": "nbd-server-start" }, - { - "name": "eject" - }, - { - "name": "blockdev-snapshot-delete-internal-sync" - }, - { - "name": "blockdev-snapshot-internal-sync" - }, - { - "name": "query-pr-managers" - }, { "name": "x-blockdev-set-iothread" }, @@ -426,21 +441,6 @@ { "name": "block-set-write-threshold" }, - { - "name": "blockdev-change-medium" - }, - { - "name": "blockdev-insert-medium" - }, - { - "name": "blockdev-remove-medium" - }, - { - "name": "blockdev-close-tray" - }, - { - "name": "blockdev-open-tray" - }, { "name": "blockdev-create" }, @@ -477,9 +477,6 @@ { "name": "block-stream" }, - { - "name": "block_set_io_throttle" - }, { "name": "blockdev-mirror" }, @@ -550,25 +547,28 @@ "name": "block-latency-histogram-set" }, { - "name": "query-jobs" + "name": "block_set_io_throttle" }, { - "name": "job-finalize" + "name": "blockdev-change-medium" }, { - "name": "job-dismiss" + "name": "blockdev-insert-medium" }, { - "name": "job-complete" + "name": "blockdev-remove-medium" }, { - "name": "job-cancel" + "name": "blockdev-close-tray" }, { - "name": "job-resume" + "name": "blockdev-open-tray" }, { - "name": "job-pause" + "name": "eject" + }, + { + "name": "query-pr-managers" }, { "name": "watchdog-set-action" @@ -601,328 +601,340 @@ { "return": [ { - "name": "qio-channel-tls", - "parent": "qio-channel" + "name": "ipmi-bmc-sim", + "parent": "ipmi-bmc" }, { - "name": "scsi-generic", - "parent": "scsi-device" + "name": "virtio-balloon-pci-non-transitional", + "parent": "virtio-balloon-pci-base" }, { - "name": "chardev-parallel", - "parent": "chardev" - }, - { - "name": "vhost-vsock-pci", - "parent": "vhost-vsock-pci-base" - }, - { - "name": "qemu-xhci", - "parent": "base-xhci" - }, - { - "name": "scsi-hd", - "parent": "scsi-disk-base" - }, - { - "name": "usb-bot", - "parent": "usb-storage-dev" - }, - { - "name": "mpc8568e-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "power7+_v2.1-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "lsi53c895a", - "parent": "pci-device" - }, - { - "name": "power8e_v2.1-powerpc64-cpu", - "parent": "POWER8-family-powerpc64-cpu" - }, - { - "name": "dec-21154", - "parent": "pci-device" - }, - { - "name": "ide-hd", - "parent": "ide-device" - }, - { - "name": "750_v3.1-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "mpc8343e-powerpc64-cpu", + "name": "mpc8379-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, - { - "name": "mpc5200_v10-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, { "name": "mpc8533e_v10-powerpc64-cpu", "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "npe405h2-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "e300c2-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "7447a_v1.1-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "403gb-powerpc64-cpu", - "parent": "403-family-powerpc64-cpu" - }, - { - "name": "power5+_v2.1-powerpc64-cpu", - "parent": "POWER5P-family-powerpc64-cpu" - }, - { - "name": "mpc8555e_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "40p-machine", - "parent": "machine" - }, - { - "name": "at24c-eeprom", - "parent": "i2c-slave" - }, - { - "name": "cpu-cluster", - "parent": "device" - }, - { - "name": "virtio-keyboard-pci", - "parent": "virtio-keyboard-pci-base-type" - }, - { - "name": "750cxe_v3.1-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "mpc8541e_v11-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "401-powerpc64-cpu", - "parent": "401-family-powerpc64-cpu" - }, - { - "name": "750cxr-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "750cl_v2.0-powerpc64-cpu", - "parent": "750cl-family-powerpc64-cpu" - }, - { - "name": "power8_v2.0-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "745_v1.0-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "vhost-scsi-pci-non-transitional", - "parent": "vhost-scsi-pci-base" - }, - { - "name": "virtio-9p-pci-non-transitional", - "parent": "virtio-9p-pci-base" - }, - { - "name": "g2legp1-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "virtio-net-device", - "parent": "virtio-device" - }, - { - "name": "ppc4xx-i2c", - "parent": "sys-bus-device" - }, - { - "name": "601_v0-powerpc64-cpu", - "parent": "601-family-powerpc64-cpu" - }, - { - "name": "macio-newworld", - "parent": "macio" - }, - { - "name": "usb-host", - "parent": "usb-device" - }, - { - "name": "ppc4xx-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "spapr-vio-bus", - "parent": "bus" - }, - { - "name": "ppc4xx-host-bridge", - "parent": "pci-device" - }, - { - "name": "750fx_v2.1-powerpc64-cpu", - "parent": "750fx-family-powerpc64-cpu" - }, - { - "name": "iop480-powerpc64-cpu", - "parent": "IOP480-family-powerpc64-cpu" - }, - { - "name": "usb-audio", - "parent": "usb-device" - }, - { - "name": "power7_v2.3-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "970fx_v2.1-powerpc64-cpu", + "name": "970_v2.2-powerpc64-cpu", "parent": "970-family-powerpc64-cpu" }, { - "name": "usb-mtp", + "name": "mpc8560_v21-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "aw-h3-ehci-usb", + "parent": "sysbus-ehci-usb" + }, + { + "name": "macio-gpio", + "parent": "sys-bus-device" + }, + { + "name": "esp", + "parent": "sys-bus-device" + }, + { + "name": "ppce500-machine", + "parent": "ppce500-base-machine" + }, + { + "name": "power10_v1.0-powerpc64-cpu", + "parent": "POWER10-family-powerpc64-cpu" + }, + { + "name": "750gx_v1.2-powerpc64-cpu", + "parent": "750gx-family-powerpc64-cpu" + }, + { + "name": "ich9-intel-hda", + "parent": "intel-hda-generic" + }, + { + "name": "604r-powerpc64-cpu", + "parent": "604E-family-powerpc64-cpu" + }, + { + "name": "750l_v3.0-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "usb-wacom-tablet", "parent": "usb-device" }, { - "name": "SUNW,fdtwo", - "parent": "base-sysbus-fdc" + "name": "PCI", + "parent": "bus" }, { - "name": "440epa-powerpc64-cpu", - "parent": "440EP-family-powerpc64-cpu" + "name": "vhost-user-blk", + "parent": "virtio-device" }, { - "name": "isa-pcspk", + "name": "mpc8347ep-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "mpc8378e-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "440-xilinx-w-dfpu-powerpc64-cpu", + "parent": "440x5wDFPU-family-powerpc64-cpu" + }, + { + "name": "pnv-xive", + "parent": "xive-router" + }, + { + "name": "adb-keyboard", + "parent": "adb-device" + }, + { + "name": "power7+_v2.1-powerpc64-cpu", + "parent": "POWER7-family-powerpc64-cpu" + }, + { + "name": "e300c1-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "escc", + "parent": "sys-bus-device" + }, + { + "name": "401f2-powerpc64-cpu", + "parent": "401x2-family-powerpc64-cpu" + }, + { + "name": "460exb-powerpc64-cpu", + "parent": "460EX-family-powerpc64-cpu" + }, + { + "name": "mpc8560_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "mpc8378-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "tpm-spapr", + "parent": "vio-spapr-device" + }, + { + "name": "vfio-amd-xgbe", + "parent": "vfio-platform" + }, + { + "name": "virtio-scsi-pci-transitional", + "parent": "virtio-scsi-pci-base" + }, + { + "name": "750gx_v1.1-powerpc64-cpu", + "parent": "750gx-family-powerpc64-cpu" + }, + { + "name": "mac99-machine", + "parent": "machine" + }, + { + "name": "mc146818rtc", "parent": "isa-device" }, { - "name": "uni-north-internal-pci-pcihost", + "name": "970fx_v2.0-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" + }, + { + "name": "power8e_v2.1-powernv-cpu-core", + "parent": "powernv-cpu-core" + }, + { + "name": "405d4-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "mpc5200b_v21-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "970mp_v1.0-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "pseries-3.1-machine", + "parent": "spapr-machine" + }, + { + "name": "440epb-powerpc64-cpu", + "parent": "440EP-family-powerpc64-cpu" + }, + { + "name": "filter-buffer", + "parent": "netfilter" + }, + { + "name": "chardev-parallel", + "parent": "chardev" + }, + { + "name": "spapr-pci-host-bridge", "parent": "pci-host-bridge" }, { - "name": "dec-21154-p2p-bridge", - "parent": "base-pci-bridge" + "name": "pnv-pbcq", + "parent": "device" }, { - "name": "pnv8-chip", - "parent": "pnv-chip" + "name": "7400_v2.6-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" }, { - "name": "7445_v3.3-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" + "name": "virtio-balloon-device", + "parent": "virtio-device" }, { - "name": "603e_v4.1-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" + "name": "750gx_v1.0-powerpc64-cpu", + "parent": "750gx-family-powerpc64-cpu" }, { - "name": "mpc603-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" + "name": "7410_v1.2-powerpc64-cpu", + "parent": "7410-family-powerpc64-cpu" + }, + { + "name": "pseries-2.5-machine", + "parent": "spapr-machine" + }, + { + "name": "mpc8347eat-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "970_v2.2-spapr-cpu-core", + "parent": "spapr-cpu-core" }, { "name": "pcie-root-port", "parent": "pcie-root-port-base" }, { - "name": "spapr-vscsi", - "parent": "vio-spapr-device" + "name": "pseries-2.11-machine", + "parent": "spapr-machine" }, { - "name": "loader", - "parent": "device" - }, - { - "name": "xlnx.xps-ethernetlite", - "parent": "sys-bus-device" - }, - { - "name": "7410_v1.0-powerpc64-cpu", - "parent": "7410-family-powerpc64-cpu" - }, - { - "name": "platform-bus-device", - "parent": "sys-bus-device" - }, - { - "name": "sysbus-sm501", - "parent": "sys-bus-device" - }, - { - "name": "virtio-serial-pci", - "parent": "virtio-serial-pci-base" - }, - { - "name": "750cxe_v2.4b-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "mpc8641-powerpc64-cpu", - "parent": "e600-family-powerpc64-cpu" - }, - { - "name": "ics", - "parent": "device" - }, - { - "name": "powernv9-machine", - "parent": "powernv-machine" - }, - { - "name": "icp", - "parent": "device" - }, - { - "name": "virtio-crypto-device", - "parent": "virtio-device" - }, - { - "name": "platform-ehci-usb", - "parent": "sysbus-ehci-usb" - }, - { - "name": "mpc8379e-powerpc64-cpu", + "name": "mpc8343e-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, { - "name": "745_v2.5-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" + "name": "405gpr-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" }, { - "name": "970fx_v3.1-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" + "name": "qemu-console", + "parent": "object" }, { - "name": "7447_v1.0-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" + "name": "750fx_v1.0-powerpc64-cpu", + "parent": "750fx-family-powerpc64-cpu" }, { - "name": "7457_v1.2-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" + "name": "pnv-phb4-root-port", + "parent": "pcie-root-port-base" }, { - "name": "usb-bt-dongle", - "parent": "usb-device" + "name": "ne2k_isa", + "parent": "isa-device" + }, + { + "name": "throttle-group", + "parent": "object" + }, + { + "name": "mpc8541e_v11-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "pnv-phb4-pec", + "parent": "device" + }, + { + "name": "604-powerpc64-cpu", + "parent": "604-family-powerpc64-cpu" + }, + { + "name": "750fx_v2.3-powerpc64-cpu", + "parent": "750fx-family-powerpc64-cpu" + }, + { + "name": "virtio-scsi-device", + "parent": "virtio-scsi-common" + }, + { + "name": "xlnx.xps-timer", + "parent": "sys-bus-device" + }, + { + "name": "750cl_v2.0-powerpc64-cpu", + "parent": "750cl-family-powerpc64-cpu" + }, + { + "name": "ich9-ahci", + "parent": "pci-device" + }, + { + "name": "powernv10-machine", + "parent": "powernv-machine" + }, + { + "name": "e500v2_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "7410_v1.1-powerpc64-cpu", + "parent": "7410-family-powerpc64-cpu" + }, + { + "name": "qio-net-listener", + "parent": "object" + }, + { + "name": "vhost-user-backend", + "parent": "object" + }, + { + "name": "ide-hd", + "parent": "ide-device" + }, + { + "name": "e1000-82545em", + "parent": "e1000-base" + }, + { + "name": "e1000e", + "parent": "pci-device" + }, + { + "name": "isa-ide", + "parent": "isa-device" + }, + { + "name": "nec-usb-xhci", + "parent": "base-xhci" + }, + { + "name": "7441_v2.1-powerpc64-cpu", + "parent": "7440-family-powerpc64-cpu" + }, + { + "name": "mpc8347p-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "cirrus-vga", + "parent": "pci-device" }, { "name": "powernv8-machine", @@ -933,645 +945,181 @@ "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "usb-ccid", - "parent": "usb-device" - }, - { - "name": "authz-list-file", - "parent": "authz" - }, - { - "name": "qio-channel-file", - "parent": "qio-channel" - }, - { - "name": "xlnx,ps7-usb", - "parent": "sysbus-ehci-usb" - }, - { - "name": "xlnx.xps-intc", - "parent": "sys-bus-device" - }, - { - "name": "virtio-input-host-pci", - "parent": "virtio-input-host-pci-base-type" - }, - { - "name": "ich9-usb-uhci6", - "parent": "pci-uhci-usb" - }, - { - "name": "mpc8378-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "mpc8xxx_gpio", - "parent": "sys-bus-device" - }, - { - "name": "e1000", - "parent": "e1000-base" - }, - { - "name": "mpc8545e_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "pseries-2.1-machine", - "parent": "spapr-machine" - }, - { - "name": "pci-bridge", - "parent": "base-pci-bridge" - }, - { - "name": "ich9-usb-uhci2", - "parent": "pci-uhci-usb" - }, - { - "name": "ich9-usb-uhci5", - "parent": "pci-uhci-usb" - }, - { - "name": "ich9-usb-uhci4", - "parent": "pci-uhci-usb" - }, - { - "name": "ich9-usb-uhci3", - "parent": "pci-uhci-usb" - }, - { - "name": "mpc8548e_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "ich9-usb-uhci1", - "parent": "pci-uhci-usb" - }, - { - "name": "sysbus-ahci", - "parent": "sys-bus-device" - }, - { - "name": "e500v2_v22-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "uni-north-agp", - "parent": "pci-device" - }, - { - "name": "sysbus-m48t59", - "parent": "sysbus-m48txx" - }, - { - "name": "tls-creds-psk", - "parent": "tls-creds" - }, - { - "name": "tpci200", - "parent": "pci-device" - }, - { - "name": "memory-backend-file", - "parent": "memory-backend" - }, - { - "name": "604r-powerpc64-cpu", - "parent": "604E-family-powerpc64-cpu" - }, - { - "name": "pc-testdev", - "parent": "isa-device" - }, - { - "name": "megasas", - "parent": "megasas-base" - }, - { - "name": "u3-agp", - "parent": "pci-device" - }, - { - "name": "chardev-udp", - "parent": "chardev" - }, - { - "name": "vhost-user-input", - "parent": "virtio-input-device" - }, - { - "name": "603e7v2-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "970mp_v1.1-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" - }, - { - "name": "rtl8139", - "parent": "pci-device" - }, - { - "name": "chardev-hci", - "parent": "chardev" - }, - { - "name": "mpc8544e_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "power7+_v2.1-powerpc64-cpu", - "parent": "POWER7-family-powerpc64-cpu" - }, - { - "name": "7450_v2.0-powerpc64-cpu", - "parent": "7450-family-powerpc64-cpu" - }, - { - "name": "7455_v2.1-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "405gpc-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "7400_v2.8-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "virtio-balloon-pci-non-transitional", - "parent": "virtio-balloon-pci-base" - }, - { - "name": "401g2-powerpc64-cpu", - "parent": "401x2-family-powerpc64-cpu" - }, - { - "name": "603e_v1.1-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "scsi-block", - "parent": "scsi-disk-base" - }, - { - "name": "7451_v2.3-powerpc64-cpu", - "parent": "7450-family-powerpc64-cpu" - }, - { - "name": "i82559er", - "parent": "pci-device" - }, - { - "name": "mpc8533e_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "eTSEC", - "parent": "sys-bus-device" - }, - { - "name": "mac-dbdma", - "parent": "sys-bus-device" - }, - { - "name": "mpc8567e-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "vmware-svga", - "parent": "pci-device" - }, - { - "name": "scsi-cd", - "parent": "scsi-disk-base" - }, - { - "name": "floppy-bus", - "parent": "bus" - }, - { - "name": "sd-bus", - "parent": "bus" - }, - { - "name": "accel", - "parent": "object" - }, - { - "name": "740p-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "power5+_v2.1-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "ppc440-pcix-host", - "parent": "pci-host-bridge" - }, - { - "name": "xlnx.xps-timer", - "parent": "sys-bus-device" - }, - { - "name": "virtio-vga", - "parent": "virtio-vga-base-type" - }, - { - "name": "isa-serial", - "parent": "isa-device" - }, - { - "name": "g2hip4-powerpc64-cpu", - "parent": "G2-family-powerpc64-cpu" - }, - { - "name": "spapr-rng", - "parent": "device" - }, - { - "name": "via-pmu", - "parent": "sys-bus-device" - }, - { - "name": "g2lels-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "virtio-serial-device", - "parent": "virtio-device" - }, - { - "name": "970_v2.2-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" - }, - { - "name": "gpio_i2c", - "parent": "sys-bus-device" - }, - { - "name": "escc", - "parent": "sys-bus-device" - }, - { - "name": "mpc8349e-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "chardev-gdb", - "parent": "chardev" - }, - { - "name": "fdc37m81x-superio", - "parent": "isa-superio" - }, - { - "name": "vhost-scsi-pci", - "parent": "vhost-scsi-pci-base" - }, - { - "name": "usb-uas", - "parent": "usb-device" - }, - { - "name": "fw_cfg_mem", - "parent": "fw_cfg" - }, - { - "name": "603e_v3-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "pseries-2.3-machine", - "parent": "spapr-machine" - }, - { - "name": "virtio-scsi-pci-transitional", + "name": "virtio-scsi-pci", "parent": "virtio-scsi-pci-base" }, { - "name": "taihu-machine", - "parent": "machine" + "name": "7400_v1.1-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" }, { - "name": "npe4gs3-powerpc64-cpu", + "name": "mpc8541e_v10-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "sysbus-fdc", + "parent": "base-sysbus-fdc" + }, + { + "name": "603-powerpc64-cpu", + "parent": "603-family-powerpc64-cpu" + }, + { + "name": "ics", + "parent": "device" + }, + { + "name": "icp", + "parent": "device" + }, + { + "name": "npe405l-powerpc64-cpu", "parent": "405-family-powerpc64-cpu" }, { - "name": "440epb-powerpc64-cpu", - "parent": "440EP-family-powerpc64-cpu" + "name": "piix3-usb-uhci", + "parent": "pci-uhci-usb" }, { - "name": "ide-cd", - "parent": "ide-device" + "name": "e500-spin", + "parent": "sys-bus-device" }, { - "name": "7445_v3.4-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" + "name": "virtio-serial-pci", + "parent": "virtio-serial-pci-base" }, { - "name": "7450_v1.0-powerpc64-cpu", - "parent": "7450-family-powerpc64-cpu" + "name": "virtio-rng-pci-transitional", + "parent": "virtio-rng-pci-base" }, { - "name": "750p-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "virtio-balloon-pci", - "parent": "virtio-balloon-pci-base" - }, - { - "name": "pseries-2.2-machine", - "parent": "spapr-machine" - }, - { - "name": "pseries-4.1-machine", - "parent": "spapr-machine" - }, - { - "name": "e6500-powerpc64-cpu", - "parent": "e6500-family-powerpc64-cpu" - }, - { - "name": "sb16", - "parent": "isa-device" - }, - { - "name": "iothread", - "parent": "object" - }, - { - "name": "750gl-powerpc64-cpu", - "parent": "750gx-family-powerpc64-cpu" - }, - { - "name": "bochs-display", - "parent": "pci-device" - }, - { - "name": "745_v2.1-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "7410_v1.1-powerpc64-cpu", - "parent": "7410-family-powerpc64-cpu" - }, - { - "name": "755_v2.3-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "vhost-vsock-device", - "parent": "virtio-device" - }, - { - "name": "pseries-4.0-machine", - "parent": "spapr-machine" - }, - { - "name": "qio-channel-rdma", - "parent": "qio-channel" - }, - { - "name": "750_v2.2-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" + "name": "filter-rewriter", + "parent": "netfilter" }, { "name": "mpc8347at-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, { - "name": "mpc8544_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" + "name": "spapr-vio-bus", + "parent": "bus" + }, + { + "name": "g2ls-powerpc64-cpu", + "parent": "G2-family-powerpc64-cpu" + }, + { + "name": "ppc460ex-pcie-host", + "parent": "pcie-host-bridge" + }, + { + "name": "scsi-hd", + "parent": "scsi-disk-base" + }, + { + "name": "vhost-vsock-pci-transitional", + "parent": "vhost-vsock-pci-base" + }, + { + "name": "mpc8540_v21-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "i82559er", + "parent": "pci-device" }, { "name": "405ep-powerpc64-cpu", "parent": "405-family-powerpc64-cpu" }, { - "name": "virtio-input-host-device", - "parent": "virtio-input-device" + "name": "603e_v3-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" }, { - "name": "sdhci-pci", - "parent": "pci-device" - }, - { - "name": "isa-parallel", - "parent": "isa-device" - }, - { - "name": "stb03-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "virtio-rng-device", - "parent": "virtio-device" - }, - { - "name": "ivshmem-doorbell", - "parent": "ivshmem-common" - }, - { - "name": "745_v2.6-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "heathrow", - "parent": "sys-bus-device" - }, - { - "name": "405lp-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "755_v2.8-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "604e_v2.4-powerpc64-cpu", - "parent": "604E-family-powerpc64-cpu" - }, - { - "name": "cs4231a", - "parent": "isa-device" - }, - { - "name": "750cxe_v2.2-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "750cx_v1.0-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "7457a_v1.2-powerpc64-cpu", + "name": "7455_v2.1-powerpc64-cpu", "parent": "7455-family-powerpc64-cpu" }, { - "name": "pnv-icp", - "parent": "icp" + "name": "403ga-powerpc64-cpu", + "parent": "403-family-powerpc64-cpu" }, { - "name": "mpc8568-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" + "name": "750cxe_v2.4-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" }, { - "name": "460exb-powerpc64-cpu", - "parent": "460EX-family-powerpc64-cpu" - }, - { - "name": "mpc8555_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "spapr-drc-cpu", - "parent": "spapr-drc-logical" - }, - { - "name": "spapr-tpm-proxy", - "parent": "device" - }, - { - "name": "spapr-iommu-memory-region", - "parent": "qemu:iommu-memory-region" - }, - { - "name": "mpc8343a-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "adlib", + "name": "isa-ipmi-bt", "parent": "isa-device" }, { - "name": "mpc8378e-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" + "name": "cryptodev-backend", + "parent": "object" }, { - "name": "602-powerpc64-cpu", - "parent": "602-family-powerpc64-cpu" + "name": "power9_v2.0-spapr-cpu-core", + "parent": "spapr-cpu-core" }, { - "name": "mpc8545e_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" + "name": "603e_v1.4-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" }, { - "name": "pci-bridge-seat", - "parent": "pci-bridge" + "name": "7455_v3.4-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" }, { - "name": "dc390", - "parent": "am53c974" + "name": "System", + "parent": "bus" + }, + { + "name": "7400_v1.0-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "pnv10-chip", + "parent": "pnv-chip" + }, + { + "name": "i2c-ddc", + "parent": "i2c-slave" + }, + { + "name": "macio-nvram", + "parent": "sys-bus-device" + }, + { + "name": "601_v2-powerpc64-cpu", + "parent": "601v-family-powerpc64-cpu" + }, + { + "name": "g2hip4-powerpc64-cpu", + "parent": "G2-family-powerpc64-cpu" + }, + { + "name": "7445_v2.1-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "fusbh200-ehci-usb", + "parent": "sysbus-ehci-usb" + }, + { + "name": "power8_v2.0-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "7445_v3.4-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "vhost-user-blk-pci-non-transitional", + "parent": "vhost-user-blk-pci-base" }, { "name": "hda-micro", "parent": "hda-audio" }, - { - "name": "fw_cfg_io", - "parent": "fw_cfg" - }, - { - "name": "IDE", - "parent": "bus" - }, - { - "name": "am53c974", - "parent": "pci-device" - }, - { - "name": "740_v3.0-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "7441_v2.1-powerpc64-cpu", - "parent": "7440-family-powerpc64-cpu" - }, - { - "name": "qio-channel-websock", - "parent": "qio-channel" - }, - { - "name": "mpc5200_v11-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "7447a_v1.2-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "e300c3-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "403gc-powerpc64-cpu", - "parent": "403-family-powerpc64-cpu" - }, - { - "name": "sysbus-m48t08", - "parent": "sysbus-m48txx" - }, - { - "name": "spapr-pci-host-bridge", - "parent": "pci-host-bridge" - }, - { - "name": "memory-backend-ram", - "parent": "memory-backend" - }, - { - "name": "601_v1-powerpc64-cpu", - "parent": "601-family-powerpc64-cpu" - }, - { - "name": "pseries-2.5-machine", - "parent": "spapr-machine" - }, - { - "name": "mpc8548e_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "750cx_v2.0-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "virtio-blk-device", - "parent": "virtio-device" - }, - { - "name": "chardev-pipe", - "parent": "chardev-fd" - }, - { - "name": "745_v1.1-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "mc146818rtc", - "parent": "isa-device" - }, - { - "name": "sysbus-m48t02", - "parent": "sysbus-m48txx" - }, - { - "name": "uni-north-pci", - "parent": "pci-device" - }, - { - "name": "740_v1.0-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "pseries-2.4-machine", - "parent": "spapr-machine" - }, { "name": "pnv-homer-POWER9", "parent": "pnv-homer" @@ -1581,461 +1129,1333 @@ "parent": "pnv-homer" }, { - "name": "spapr-nvram", - "parent": "vio-spapr-device" - }, - { - "name": "cryptodev-backend-builtin", - "parent": "cryptodev-backend" - }, - { - "name": "chardev-msmouse", - "parent": "chardev" - }, - { - "name": "hda-output", - "parent": "hda-audio" - }, - { - "name": "mpc8347eat-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "750fx_v2.2-powerpc64-cpu", - "parent": "750fx-family-powerpc64-cpu" - }, - { - "name": "mpc5200b_v20-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "603e_v1.2-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "stb25-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "pseries-5.0-machine", + "name": "pseries-4.1-machine", "parent": "spapr-machine" }, - { - "name": "pseries-4.2-machine", - "parent": "spapr-machine" - }, - { - "name": "raven", - "parent": "pci-device" - }, - { - "name": "VGA", - "parent": "pci-vga" - }, - { - "name": "405gpr-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "mpc8349a-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "vfio-pci-nohotplug", - "parent": "vfio-pci" - }, - { - "name": "mpc8533_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "401f2-powerpc64-cpu", - "parent": "401x2-family-powerpc64-cpu" - }, - { - "name": "i8257", - "parent": "isa-device" - }, - { - "name": "440-xilinx-powerpc64-cpu", - "parent": "440x5-family-powerpc64-cpu" - }, - { - "name": "power8e_v2.1-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "pc87312", - "parent": "isa-superio" - }, - { - "name": "qio-net-listener", - "parent": "object" - }, - { - "name": "mpc8560_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "edu", - "parent": "pci-device" - }, - { - "name": "sysbus-ohci", - "parent": "sys-bus-device" - }, - { - "name": "virtio-mouse-pci", - "parent": "virtio-mouse-pci-base-type" - }, - { - "name": "740_v2.0-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "7455_v3.2-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "chardev-ringbuf", - "parent": "chardev" - }, - { - "name": "power8nvl_v1.0-powernv-cpu-core", - "parent": "powernv-cpu-core" - }, - { - "name": "g2legp-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "vfio-pci-igd-lpc-bridge", - "parent": "pci-device" - }, - { - "name": "spapr-vlan", - "parent": "vio-spapr-device" - }, - { - "name": "603e_v4-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "HDA", - "parent": "bus" - }, - { - "name": "603e_v2.2-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "qio-channel-command", - "parent": "qio-channel" - }, - { - "name": "7447_v1.1-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "pseries-2.8-machine", - "parent": "spapr-machine" - }, - { - "name": "pcm3680_pci", - "parent": "pci-device" - }, - { - "name": "floppy", - "parent": "device" - }, - { - "name": "mioe3680_pci", - "parent": "pci-device" - }, - { - "name": "qemu:memory-region", - "parent": "object" - }, - { - "name": "macio-oldworld", - "parent": "macio" - }, - { - "name": "adb-keyboard", - "parent": "adb-device" - }, - { - "name": "ppc460ex-pcie-host", - "parent": "pcie-host-bridge" - }, - { - "name": "i2c-ddc", - "parent": "i2c-slave" - }, - { - "name": "7445_v1.0-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "pseries-2.7-machine", - "parent": "spapr-machine" - }, - { - "name": "mpc8379-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "755_v2.4-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "7450_v1.1-powerpc64-cpu", - "parent": "7450-family-powerpc64-cpu" - }, - { - "name": "405ez-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "e600-powerpc64-cpu", - "parent": "e600-family-powerpc64-cpu" - }, - { - "name": "pseries-2.6-machine", - "parent": "spapr-machine" - }, - { - "name": "can-bus", - "parent": "object" - }, - { - "name": "x2vp20-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "qtest-accel", - "parent": "accel" - }, - { - "name": "mpc8548e_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "stb04-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "750l_v2.0-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "750fl-powerpc64-cpu", - "parent": "750fx-family-powerpc64-cpu" - }, - { - "name": "mpc8543e_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "usb-serial", - "parent": "usb-serial-dev" - }, - { - "name": "xio3130-downstream", - "parent": "pcie-slot" - }, - { - "name": "vhost-user-gpu", - "parent": "virtio-gpu-base" - }, - { - "name": "cirrus-vga", - "parent": "pci-device" - }, - { - "name": "virtio-blk-pci", - "parent": "virtio-blk-pci-base" - }, - { - "name": "virtio-gpu-device", - "parent": "virtio-gpu-base" - }, - { - "name": "mpc8541_v10-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "750gx_v1.0-powerpc64-cpu", - "parent": "750gx-family-powerpc64-cpu" - }, - { - "name": "cryptodev-vhost-user", - "parent": "cryptodev-backend" - }, - { - "name": "qio-dns-resolver", - "parent": "object" - }, - { - "name": "mpc8555_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "vt82c686b-usb-uhci", - "parent": "pci-uhci-usb" - }, - { - "name": "lsi53c810", - "parent": "lsi53c895a" - }, - { - "name": "chardev-file", - "parent": "chardev-fd" - }, - { - "name": "603e7t-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "uni-north-agp-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "603-powerpc64-cpu", - "parent": "603-family-powerpc64-cpu" - }, - { - "name": "System", - "parent": "bus" - }, - { - "name": "405gpd-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "7400_v2.9-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "g2-powerpc64-cpu", - "parent": "G2-family-powerpc64-cpu" - }, - { - "name": "750cxe_v3.1b-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, { "name": "7450_v2.1-powerpc64-cpu", "parent": "7450-family-powerpc64-cpu" }, - { - "name": "chardev-braille", - "parent": "chardev" - }, - { - "name": "7448_v2.0-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "vhost-user-scsi-pci-non-transitional", - "parent": "vhost-user-scsi-pci-base" - }, - { - "name": "mpc5200_v12-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "mac99-machine", - "parent": "machine" - }, - { - "name": "e300c4-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, { "name": "mpc8377e-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, { - "name": "750l_v3.0-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" + "name": "mpc8540_v20-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" }, { - "name": "ccid-bus", + "name": "megasas", + "parent": "megasas-base" + }, + { + "name": "chardev-stdio", + "parent": "chardev-fd" + }, + { + "name": "spapr-drc-cpu", + "parent": "spapr-drc-logical" + }, + { + "name": "750cx_v2.2-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "mpc8544ds-machine", + "parent": "ppce500-base-machine" + }, + { + "name": "tls-creds-anon", + "parent": "tls-creds" + }, + { + "name": "mpc8568e-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "mpc8560_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "401e2-powerpc64-cpu", + "parent": "401x2-family-powerpc64-cpu" + }, + { + "name": "serial", + "parent": "device" + }, + { + "name": "memory-backend-ram", + "parent": "memory-backend" + }, + { + "name": "755_v2.3-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "mac-dbdma", + "parent": "sys-bus-device" + }, + { + "name": "qio-channel-command", + "parent": "qio-channel" + }, + { + "name": "SCSI", "parent": "bus" }, { - "name": "PCIE", - "parent": "PCI" + "name": "ide-cd", + "parent": "ide-device" }, { - "name": "pcie-pci-bridge", - "parent": "base-pci-bridge" + "name": "uni-north-agp-pcihost", + "parent": "pci-host-bridge" }, { - "name": "g2h4-powerpc64-cpu", + "name": "405crc-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "pnv-phb4-pec-stack", + "parent": "device" + }, + { + "name": "virtconsole", + "parent": "virtserialport" + }, + { + "name": "loader", + "parent": "device" + }, + { + "name": "g2hip3-powerpc64-cpu", "parent": "G2-family-powerpc64-cpu" }, { - "name": "mpc8610-powerpc64-cpu", - "parent": "e600-family-powerpc64-cpu" + "name": "sb16", + "parent": "isa-device" }, { - "name": "604e_v1.0-powerpc64-cpu", - "parent": "604E-family-powerpc64-cpu" + "name": "power8_v2.0-powerpc64-cpu", + "parent": "POWER8-family-powerpc64-cpu" }, { - "name": "750cx_v2.1-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" + "name": "virtio-rng-pci-non-transitional", + "parent": "virtio-rng-pci-base" + }, + { + "name": "7450_v2.0-powerpc64-cpu", + "parent": "7450-family-powerpc64-cpu" }, { "name": "cuda", "parent": "sys-bus-device" }, { - "name": "vhost-user-blk-pci", - "parent": "vhost-user-blk-pci-base" + "name": "e500_v20-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" }, { - "name": "virtio-net-pci-transitional", - "parent": "virtio-net-pci-base" + "name": "e6500-powerpc64-cpu", + "parent": "e6500-family-powerpc64-cpu" }, { - "name": "virtio-serial-bus", + "name": "vhost-user-input-pci", + "parent": "vhost-user-input-pci-base-type" + }, + { + "name": "750cx_v2.1-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "chardev-serial", + "parent": "chardev-fd" + }, + { + "name": "e500v2_v22-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "ich9-usb-ehci2", + "parent": "pci-ehci-usb" + }, + { + "name": "ich9-usb-ehci1", + "parent": "pci-ehci-usb" + }, + { + "name": "pseries-2.4-machine", + "parent": "spapr-machine" + }, + { + "name": "pr-manager-helper", + "parent": "pr-manager" + }, + { + "name": "755_v2.2-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "pseries-2.10-machine", + "parent": "spapr-machine" + }, + { + "name": "mpc8347et-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "scsi-cd", + "parent": "scsi-disk-base" + }, + { + "name": "405crb-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "uni-north-agp", + "parent": "pci-device" + }, + { + "name": "pvscsi", + "parent": "pci-device" + }, + { + "name": "vmxnet3", + "parent": "pci-device" + }, + { + "name": "PCIE", + "parent": "PCI" + }, + { + "name": "taihu-machine", + "parent": "machine" + }, + { + "name": "power8nvl_v1.0-powernv-cpu-core", + "parent": "powernv-cpu-core" + }, + { + "name": "745_v2.2-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "vhost-vsock-pci-non-transitional", + "parent": "vhost-vsock-pci-base" + }, + { + "name": "pcnet", + "parent": "pci-device" + }, + { + "name": "mptsas1068", + "parent": "pci-device" + }, + { + "name": "dec-21154-sysbus", + "parent": "pci-host-bridge" + }, + { + "name": "750_v2.2-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "virtio-mouse-device", + "parent": "virtio-input-hid-device" + }, + { + "name": "vhost-user-input", + "parent": "virtio-input-device" + }, + { + "name": "heathrow", + "parent": "sys-bus-device" + }, + { + "name": "chardev-msmouse", + "parent": "chardev" + }, + { + "name": "vt82c686b-usb-uhci", + "parent": "pci-uhci-usb" + }, + { + "name": "e500v2_v21-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "mpc603-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "cs4231a", + "parent": "isa-device" + }, + { + "name": "edu", + "parent": "pci-device" + }, + { + "name": "755_v2.1-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "i6300esb", + "parent": "pci-device" + }, + { + "name": "ivshmem-doorbell", + "parent": "ivshmem-common" + }, + { + "name": "sysbus-ohci", + "parent": "sys-bus-device" + }, + { + "name": "chardev-socket", + "parent": "chardev" + }, + { + "name": "apple-desktop-bus", "parent": "bus" }, { - "name": "secondary-vga", - "parent": "pci-vga" + "name": "740_v2.2-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "g2h4-powerpc64-cpu", + "parent": "G2-family-powerpc64-cpu" + }, + { + "name": "pseries-2.1-machine", + "parent": "spapr-machine" + }, + { + "name": "bamboo-machine", + "parent": "machine" + }, + { + "name": "745_v2.1-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "i82801", + "parent": "pci-device" + }, + { + "name": "isabus-bridge", + "parent": "sys-bus-device" + }, + { + "name": "virtio-balloon-pci-transitional", + "parent": "virtio-balloon-pci-base" + }, + { + "name": "750_v2.1-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "power8_v2.0-powernv-cpu-core", + "parent": "powernv-cpu-core" + }, + { + "name": "740p-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "mpc5200_v11-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "spapr-nvram", + "parent": "vio-spapr-device" }, { "name": "vhost-scsi", "parent": "vhost-scsi-common" }, { - "name": "g2legp3-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" + "name": "mpc8555_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "601_v2-powerpc64-cpu", - "parent": "601v-family-powerpc64-cpu" + "name": "750l_v3.2-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" }, { - "name": "e500-ccsr", - "parent": "sys-bus-device" + "name": "HDA", + "parent": "bus" + }, + { + "name": "pnv-lpc-POWER10", + "parent": "pnv-lpc-POWER9" + }, + { + "name": "vhost-user-gpu", + "parent": "virtio-gpu-base" + }, + { + "name": "7448_v2.1-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "virtio-iommu-pci-transitional", + "parent": "virtio-iommu-device-base" + }, + { + "name": "pci-bridge", + "parent": "base-pci-bridge" + }, + { + "name": "uni-north-pci", + "parent": "pci-device" }, { "name": "usb-hub", "parent": "usb-device" }, { - "name": "usb-ehci", - "parent": "pci-ehci-usb" + "name": "vhost-scsi-pci-non-transitional", + "parent": "vhost-scsi-pci-base" + }, + { + "name": "virtio-serial-pci-transitional", + "parent": "virtio-serial-pci-base" + }, + { + "name": "i8257", + "parent": "isa-device" + }, + { + "name": "740_v2.1-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "e1000", + "parent": "e1000-base" + }, + { + "name": "isa-pit", + "parent": "pit-common" + }, + { + "name": "virtio-crypto-pci", + "parent": "virtio-crypto-pci-base-type" + }, + { + "name": "e300c3-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "pnv-phb3-root-port", + "parent": "pcie-root-port-base" + }, + { + "name": "e500mc-powerpc64-cpu", + "parent": "e500mc-family-powerpc64-cpu" + }, + { + "name": "745_v2.0-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "mpc8533e_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "power8e_v2.1-pnv-chip", + "parent": "pnv8-chip" + }, + { + "name": "7400_v2.9-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "authz-list-file", + "parent": "authz" + }, + { + "name": "tpm-passthrough", + "parent": "tpm-backend" + }, + { + "name": "tls-creds-x509", + "parent": "tls-creds" + }, + { + "name": "sii3112", + "parent": "pci-ide" + }, + { + "name": "pcm3680_pci", + "parent": "pci-device" + }, + { + "name": "pseries-4.0-machine", + "parent": "spapr-machine" + }, + { + "name": "pnv9-chip", + "parent": "pnv-chip" + }, + { + "name": "mpc5200_v10-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "ich9-usb-uhci5", + "parent": "pci-uhci-usb" + }, + { + "name": "ich9-usb-uhci2", + "parent": "pci-uhci-usb" + }, + { + "name": "ich9-usb-uhci6", + "parent": "pci-uhci-usb" + }, + { + "name": "ich9-usb-uhci3", + "parent": "pci-uhci-usb" + }, + { + "name": "ich9-usb-uhci4", + "parent": "pci-uhci-usb" + }, + { + "name": "ich9-usb-uhci1", + "parent": "pci-uhci-usb" + }, + { + "name": "vhost-scsi-pci", + "parent": "vhost-scsi-pci-base" + }, + { + "name": "7448_v2.0-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "chardev-wctablet", + "parent": "chardev" + }, + { + "name": "virtio-serial-bus", + "parent": "bus" + }, + { + "name": "virtio-gpu-pci", + "parent": "virtio-gpu-pci-base-type" + }, + { + "name": "phb3-msi", + "parent": "ics" + }, + { + "name": "power5+_v2.1-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "virtio-gpu-device", + "parent": "virtio-gpu-base" + }, + { + "name": "mpc8540_v10-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "750gl-powerpc64-cpu", + "parent": "750gx-family-powerpc64-cpu" + }, + { + "name": "e300c2-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "740e-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "usb-tablet", + "parent": "usb-hid" + }, + { + "name": "tcg-accel", + "parent": "accel" + }, + { + "name": "virtio-keyboard-device", + "parent": "virtio-input-hid-device" + }, + { + "name": "7400_v2.8-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "usb-ccid", + "parent": "usb-device" + }, + { + "name": "sd-bus", + "parent": "bus" + }, + { + "name": "uni-north-pci-pcihost", + "parent": "pci-host-bridge" + }, + { + "name": "604e_v2.2-powerpc64-cpu", + "parent": "604E-family-powerpc64-cpu" + }, + { + "name": "usb-net", + "parent": "usb-device" + }, + { + "name": "uni-north", + "parent": "sys-bus-device" + }, + { + "name": "host-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "ide-drive", + "parent": "ide-device" + }, + { + "name": "mpc8567e-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "vmware-svga", + "parent": "pci-device" + }, + { + "name": "filter-redirector", + "parent": "netfilter" + }, + { + "name": "memory-backend-file", + "parent": "memory-backend" + }, + { + "name": "7410_v1.4-powerpc64-cpu", + "parent": "7410-family-powerpc64-cpu" + }, + { + "name": "970fx_v2.1-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" + }, + { + "name": "grackle-pcihost", + "parent": "pci-host-bridge" + }, + { + "name": "nvdimm", + "parent": "pc-dimm" + }, + { + "name": "virtio-pci-bus", + "parent": "virtio-bus" + }, + { + "name": "pnv-psi-POWER9", + "parent": "pnv-psi" + }, + { + "name": "pnv-psi-POWER8", + "parent": "pnv-psi" + }, + { + "name": "603e_v2.2-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "ES1370", + "parent": "pci-device" + }, + { + "name": "rng-builtin", + "parent": "rng-backend" + }, + { + "name": "e500_v10-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "pseries-2.8-machine", + "parent": "spapr-machine" + }, + { + "name": "qio-channel-socket", + "parent": "qio-channel" + }, + { + "name": "7400_v2.7-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "7451_v2.3-powerpc64-cpu", + "parent": "7450-family-powerpc64-cpu" + }, + { + "name": "7410_v1.3-powerpc64-cpu", + "parent": "7410-family-powerpc64-cpu" + }, + { + "name": "750cxe_v3.1-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "spapr-drc-phb", + "parent": "spapr-drc-logical" + }, + { + "name": "virtio-rng-pci", + "parent": "virtio-rng-pci-base" + }, + { + "name": "i8042", + "parent": "isa-device" + }, + { + "name": "mpc8541_v11-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "virtio-crypto-device", + "parent": "virtio-device" + }, + { + "name": "dec-21154-p2p-bridge", + "parent": "base-pci-bridge" + }, + { + "name": "eTSEC", + "parent": "sys-bus-device" + }, + { + "name": "spapr-iommu-memory-region", + "parent": "qemu:iommu-memory-region" + }, + { + "name": "7441_v2.3-powerpc64-cpu", + "parent": "7440-family-powerpc64-cpu" + }, + { + "name": "usb-audio", + "parent": "usb-device" + }, + { + "name": "g2legp1-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "power5+_v2.1-powerpc64-cpu", + "parent": "POWER5P-family-powerpc64-cpu" + }, + { + "name": "ppc440-pcix-host", + "parent": "pci-host-bridge" + }, + { + "name": "603e7-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "spapr-vty", + "parent": "vio-spapr-device" + }, + { + "name": "virtio-tablet-pci", + "parent": "virtio-tablet-pci-base-type" + }, + { + "name": "intel-hda", + "parent": "intel-hda-generic" + }, + { + "name": "chardev-memory", + "parent": "chardev-ringbuf" + }, + { + "name": "accel", + "parent": "object" + }, + { + "name": "vhost-user-blk-pci-transitional", + "parent": "vhost-user-blk-pci-base" + }, + { + "name": "pnv-occ-POWER9", + "parent": "pnv-occ" + }, + { + "name": "pnv-occ-POWER8", + "parent": "pnv-occ" + }, + { + "name": "750cxe_v3.0-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "7455_v1.0-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "mpc8541_v10-powerpc64-cpu", + "parent": "e500v1-family-powerpc64-cpu" + }, + { + "name": "mpc8xxx_gpio", + "parent": "sys-bus-device" + }, + { + "name": "uni-north-internal-pci", + "parent": "pci-device" + }, + { + "name": "403gc-powerpc64-cpu", + "parent": "403-family-powerpc64-cpu" + }, + { + "name": "chardev-null", + "parent": "chardev" + }, + { + "name": "generic-sdhci", + "parent": "sys-bus-device" + }, + { + "name": "g2-powerpc64-cpu", + "parent": "G2-family-powerpc64-cpu" + }, + { + "name": "mpc8343ea-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "virtio-iommu-memory-region", + "parent": "qemu:iommu-memory-region" + }, + { + "name": "mpc8568-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "e5500-powerpc64-cpu", + "parent": "e5500-family-powerpc64-cpu" + }, + { + "name": "755_v2.6-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "pseries-5.0-machine", + "parent": "spapr-machine" + }, + { + "name": "virtio-net-pci-non-transitional", + "parent": "virtio-net-pci-base" + }, + { + "name": "mpc8543_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "vhost-user-vga", + "parent": "vhost-user-vga-base-type" + }, + { + "name": "7450_v1.0-powerpc64-cpu", + "parent": "7450-family-powerpc64-cpu" + }, + { + "name": "chardev-mux", + "parent": "chardev" + }, + { + "name": "spapr-drc-lmb", + "parent": "spapr-drc-logical" + }, + { + "name": "qtest-accel", + "parent": "accel" + }, + { + "name": "chardev-udp", + "parent": "chardev" + }, + { + "name": "vhost-user-scsi-pci", + "parent": "vhost-user-scsi-pci-base" + }, + { + "name": "ds1338", + "parent": "i2c-slave" + }, + { + "name": "power7+_v2.1-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "403gb-powerpc64-cpu", + "parent": "403-family-powerpc64-cpu" + }, + { + "name": "virtio-keyboard-pci", + "parent": "virtio-keyboard-pci-base-type" + }, + { + "name": "603e_v4-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "mpc8548_v21-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "e500-host-bridge", + "parent": "pci-device" + }, + { + "name": "755_v2.5-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "spapr-vlan", + "parent": "vio-spapr-device" + }, + { + "name": "filter-dump", + "parent": "netfilter" + }, + { + "name": "spapr-drc-pci", + "parent": "spapr-drc-physical" + }, + { + "name": "xlnx.xps-uartlite", + "parent": "sys-bus-device" + }, + { + "name": "7447a_v1.0-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "power9_v2.0-powerpc64-cpu", + "parent": "POWER9-family-powerpc64-cpu" + }, + { + "name": "mioe3680_pci", + "parent": "pci-device" + }, + { + "name": "mpc8349-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "ib700", + "parent": "isa-device" + }, + { + "name": "pci-testdev", + "parent": "pci-device" + }, + { + "name": "SUNW,fdtwo", + "parent": "base-sysbus-fdc" + }, + { + "name": "pnv-pnor", + "parent": "sys-bus-device" + }, + { + "name": "745_v2.5-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "sm501", + "parent": "pci-device" + }, + { + "name": "750cx_v1.0-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "spapr-xive", + "parent": "xive-router" + }, + { + "name": "ipmi-bmc-extern", + "parent": "ipmi-bmc" + }, + { + "name": "usb-mouse", + "parent": "usb-hid" + }, + { + "name": "power8_v2.0-pnv-chip", + "parent": "pnv8-chip" + }, + { + "name": "vfio-platform", + "parent": "sys-bus-device" + }, + { + "name": "serial-mm", + "parent": "sys-bus-device" }, { "name": "pci-ohci", "parent": "pci-device" }, + { + "name": "none-machine", + "parent": "machine" + }, + { + "name": "secondary-vga", + "parent": "pci-vga" + }, + { + "name": "750fl-powerpc64-cpu", + "parent": "750fx-family-powerpc64-cpu" + }, + { + "name": "755_v1.1-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "at24c-eeprom", + "parent": "i2c-slave" + }, + { + "name": "mpc8548_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "755_v2.4-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "usb-bus", + "parent": "bus" + }, + { + "name": "dbus-vmstate", + "parent": "object" + }, + { + "name": "g2legp-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "macio-ide", + "parent": "sys-bus-device" + }, + { + "name": "mpc8572-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "IndustryPack", + "parent": "bus" + }, + { + "name": "fw_cfg_io", + "parent": "fw_cfg" + }, + { + "name": "input-linux", + "parent": "object" + }, + { + "name": "pseries-2.7-machine", + "parent": "spapr-machine" + }, + { + "name": "603e7t-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "745_v1.1-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "platform-bus-device", + "parent": "sys-bus-device" + }, + { + "name": "m41t80", + "parent": "i2c-slave" + }, + { + "name": "sysbus-sm501", + "parent": "sys-bus-device" + }, + { + "name": "hda-duplex", + "parent": "hda-audio" + }, + { + "name": "745_v2.4-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "scsi-block", + "parent": "scsi-disk-base" + }, + { + "name": "npe405h2-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "power7_v2.3-powerpc64-cpu", + "parent": "POWER7-family-powerpc64-cpu" + }, + { + "name": "pnv-icp", + "parent": "icp" + }, + { + "name": "scsi-generic", + "parent": "scsi-device" + }, + { + "name": "ISA", + "parent": "bus" + }, + { + "name": "usb-ehci", + "parent": "pci-ehci-usb" + }, + { + "name": "755_v1.0-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "7448_v1.1-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "virtio-input-host-pci", + "parent": "virtio-input-host-pci-base-type" + }, + { + "name": "chardev-pipe", + "parent": "chardev-fd" + }, + { + "name": "rng-egd", + "parent": "rng-backend" + }, + { + "name": "pseries-3.0-machine", + "parent": "spapr-machine" + }, + { + "name": "virtex-ml507-machine", + "parent": "machine" + }, + { + "name": "megasas-gen2", + "parent": "megasas-base" + }, + { + "name": "xive-source", + "parent": "device" + }, + { + "name": "mpc8544-guts", + "parent": "sys-bus-device" + }, + { + "name": "ati-vga", + "parent": "pci-device" + }, + { + "name": "tulip", + "parent": "pci-device" + }, + { + "name": "i82801b11-bridge", + "parent": "base-pci-bridge" + }, + { + "name": "745_v1.0-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "745_v2.3-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "vhost-user-blk-pci", + "parent": "vhost-user-blk-pci-base" + }, + { + "name": "750_v1.0-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "ppc4xx-i2c", + "parent": "sys-bus-device" + }, + { + "name": "7457_v1.2-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "pnv-lpc-POWER9", + "parent": "pnv-lpc" + }, + { + "name": "pnv-lpc-POWER8", + "parent": "pnv-lpc" + }, + { + "name": "virtio-rng-device", + "parent": "virtio-device" + }, + { + "name": "macio-oldworld", + "parent": "macio" + }, + { + "name": "xlnx.xps-intc", + "parent": "sys-bus-device" + }, + { + "name": "s3c-sdhci", + "parent": "generic-sdhci" + }, + { + "name": "serial-io", + "parent": "sys-bus-device" + }, + { + "name": "750l_v2.1-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "7448_v1.0-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "spapr-rtc", + "parent": "device" + }, + { + "name": "spapr-vio-bridge", + "parent": "sys-bus-device" + }, + { + "name": "fdc37m81x-superio", + "parent": "isa-superio" + }, + { + "name": "740_v1.0-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "virtio-iommu-pci", + "parent": "virtio-iommu-device-base" + }, + { + "name": "qio-channel-tls", + "parent": "qio-channel" + }, + { + "name": "virtio-vga", + "parent": "virtio-vga-base-type" + }, + { + "name": "750fx_v2.0-powerpc64-cpu", + "parent": "750fx-family-powerpc64-cpu" + }, + { + "name": "virtio-serial-device", + "parent": "virtio-device" + }, + { + "name": "e600-powerpc64-cpu", + "parent": "e600-family-powerpc64-cpu" + }, + { + "name": "platform-ehci-usb", + "parent": "sysbus-ehci-usb" + }, + { + "name": "virtio-iommu-pci-non-transitional", + "parent": "virtio-iommu-device-base" + }, + { + "name": "migration", + "parent": "device" + }, + { + "name": "7457_v1.1-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "mpc8347eap-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "host-powerpc64-cpu", + "parent": "power8e_v2.1-powerpc64-cpu" + }, + { + "name": "mpc8343a-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "750l_v2.0-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "power8e_v2.1-powerpc64-cpu", + "parent": "POWER8-family-powerpc64-cpu" + }, + { + "name": "ics-spapr", + "parent": "ics" + }, + { + "name": "mpc5200_v12-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "u3-agp", + "parent": "pci-device" + }, + { + "name": "mpc8543_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "cryptodev-backend-builtin", + "parent": "cryptodev-backend" + }, + { + "name": "7447_v1.1-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "usb-bot", + "parent": "usb-storage-dev" + }, + { + "name": "603e7v2-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "mpc-i2c", + "parent": "sys-bus-device" + }, { "name": "cfi.pflash02", "parent": "sys-bus-device" @@ -2045,304 +2465,556 @@ "parent": "sys-bus-device" }, { - "name": "pseries-2.9-machine", - "parent": "spapr-machine" + "name": "floppy", + "parent": "device" }, { - "name": "exynos4210-ehci-usb", - "parent": "sysbus-ehci-usb" + "name": "e300c4-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" }, { - "name": "750fx_v2.3-powerpc64-cpu", - "parent": "750fx-family-powerpc64-cpu" + "name": "rng-random", + "parent": "rng-backend" }, { - "name": "mpc5200b_v21-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" + "name": "chardev-ringbuf", + "parent": "chardev" }, { - "name": "power8_v2.0-pnv-chip", - "parent": "pnv8-chip" + "name": "7457_v1.0-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" }, { - "name": "input-linux", - "parent": "object" + "name": "604e_v2.4-powerpc64-cpu", + "parent": "604E-family-powerpc64-cpu" }, { - "name": "mpc8533_v11-powerpc64-cpu", + "name": "isa-parallel", + "parent": "isa-device" + }, + { + "name": "970fx_v1.0-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" + }, + { + "name": "mpc8548_v11-powerpc64-cpu", "parent": "e500v2-family-powerpc64-cpu" }, + { + "name": "npe4gs3-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "603e_v1.1-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "603e_v4.1-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "7447_v1.0-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "virtio-blk-pci", + "parent": "virtio-blk-pci-base" + }, + { + "name": "power8nvl_v1.0-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "pnv-phb4-root-bus", + "parent": "PCIE" + }, + { + "name": "imx-usdhc", + "parent": "generic-sdhci" + }, + { + "name": "603e7v1-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "7400_v2.0-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "ne2k_pci", + "parent": "pci-device" + }, + { + "name": "vhost-scsi-pci-transitional", + "parent": "vhost-scsi-pci-base" + }, + { + "name": "7457a_v1.2-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "604e_v1.0-powerpc64-cpu", + "parent": "604E-family-powerpc64-cpu" + }, { "name": "mpc8347ap-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, + { + "name": "usb-storage", + "parent": "usb-storage-dev" + }, + { + "name": "raven-pcihost", + "parent": "pci-host-bridge" + }, + { + "name": "sam460ex-machine", + "parent": "machine" + }, + { + "name": "virtio-tablet-device", + "parent": "virtio-input-hid-device" + }, + { + "name": "e1000-82544gc", + "parent": "e1000-base" + }, + { + "name": "usb-mtp", + "parent": "usb-device" + }, + { + "name": "pseries-2.6-machine", + "parent": "spapr-machine" + }, + { + "name": "mpc8548_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "colo-compare", + "parent": "object" + }, + { + "name": "rtl8139", + "parent": "pci-device" + }, + { + "name": "ppc4xx-ehci-usb", + "parent": "sysbus-ehci-usb" + }, + { + "name": "spapr-rng", + "parent": "device" + }, + { + "name": "440-xilinx-powerpc64-cpu", + "parent": "440x5-family-powerpc64-cpu" + }, + { + "name": "scsi-disk", + "parent": "scsi-disk-base" + }, + { + "name": "grackle", + "parent": "pci-device" + }, + { + "name": "401-powerpc64-cpu", + "parent": "401-family-powerpc64-cpu" + }, + { + "name": "mpc8533_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "mpc8347t-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "gpio_i2c", + "parent": "sys-bus-device" + }, + { + "name": "g2legp3-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "7457a_v1.1-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "vhost-vsock-pci", + "parent": "vhost-vsock-pci-base" + }, + { + "name": "750cl_v1.0-powerpc64-cpu", + "parent": "750cl-family-powerpc64-cpu" + }, + { + "name": "pci-serial-4x", + "parent": "pci-device" + }, + { + "name": "nvme", + "parent": "pci-device" + }, + { + "name": "spapr-tpm-proxy", + "parent": "device" + }, + { + "name": "stb25-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "vhost-vsock-device", + "parent": "virtio-device" + }, + { + "name": "g2gp-powerpc64-cpu", + "parent": "G2-family-powerpc64-cpu" + }, + { + "name": "mos6522-cuda", + "parent": "mos6522" + }, + { + "name": "401d2-powerpc64-cpu", + "parent": "401x2-family-powerpc64-cpu" + }, + { + "name": "usb-uas", + "parent": "usb-device" + }, + { + "name": "rocker", + "parent": "pci-device" + }, + { + "name": "qio-channel-buffer", + "parent": "qio-channel" + }, + { + "name": "sdhci-pci", + "parent": "pci-device" + }, + { + "name": "floppy-bus", + "parent": "bus" + }, + { + "name": "mpc8349a-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "pseries-2.3-machine", + "parent": "spapr-machine" + }, + { + "name": "virtio-serial-pci-non-transitional", + "parent": "virtio-serial-pci-base" + }, + { + "name": "authz-list", + "parent": "authz" + }, + { + "name": "7457a_v1.0-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "usb-braille", + "parent": "usb-serial-dev" + }, + { + "name": "or-irq", + "parent": "device" + }, + { + "name": "bochs-display", + "parent": "pci-device" + }, + { + "name": "isa-i8259", + "parent": "pic-common" + }, + { + "name": "power9_v1.0-powerpc64-cpu", + "parent": "POWER9-family-powerpc64-cpu" + }, + { + "name": "755_v2.8-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "pci-serial-2x", + "parent": "pci-device" + }, + { + "name": "iothread", + "parent": "object" + }, + { + "name": "secret", + "parent": "object" + }, + { + "name": "u3-agp-pcihost", + "parent": "pci-host-bridge" + }, + { + "name": "xlnx.xps-ethernetlite", + "parent": "sys-bus-device" + }, + { + "name": "pseries-2.12-sxxm-machine", + "parent": "spapr-machine" + }, + { + "name": "power9_v1.0-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "stb03-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "405gpa-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "7450_v1.2-powerpc64-cpu", + "parent": "7450-family-powerpc64-cpu" + }, + { + "name": "adb-mouse", + "parent": "adb-device" + }, + { + "name": "chardev-vc", + "parent": "chardev" + }, + { + "name": "xive-end-source", + "parent": "device" + }, + { + "name": "pcie-pci-bridge", + "parent": "base-pci-bridge" + }, + { + "name": "am53c974", + "parent": "pci-device" + }, + { + "name": "ipoctal232", + "parent": "ipack-device" + }, + { + "name": "745_v2.8-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "qemu-xhci", + "parent": "base-xhci" + }, + { + "name": "e200z6-powerpc64-cpu", + "parent": "e200-family-powerpc64-cpu" + }, + { + "name": "mpc8545e_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "970mp_v1.1-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" + }, + { + "name": "755_v2.7-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "vhost-user-fs-device", + "parent": "virtio-device" + }, + { + "name": "mpc8543_v21-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "7447a_v1.2-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "cobra-powerpc64-cpu", + "parent": "401-family-powerpc64-cpu" + }, { "name": "x2vp4-powerpc64-cpu", "parent": "405-family-powerpc64-cpu" }, { - "name": "ati-vga", - "parent": "pci-device" + "name": "pseries-4.2-machine", + "parent": "spapr-machine" }, { - "name": "virtio-rng-pci-transitional", - "parent": "virtio-rng-pci-base" + "name": "tegra2-ehci-usb", + "parent": "sysbus-ehci-usb" }, { - "name": "virtio-serial-pci-transitional", - "parent": "virtio-serial-pci-base" + "name": "7450_v1.1-powerpc64-cpu", + "parent": "7450-family-powerpc64-cpu" }, { - "name": "7400_v1.0-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" + "name": "isa-fdc", + "parent": "isa-device" }, { - "name": "745_v2.2-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" + "name": "40p-machine", + "parent": "machine" }, { - "name": "7410_v1.2-powerpc64-cpu", - "parent": "7410-family-powerpc64-cpu" - }, - { - "name": "vfio-amd-xgbe", - "parent": "vfio-platform" - }, - { - "name": "740_v2.1-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "401e2-powerpc64-cpu", - "parent": "401x2-family-powerpc64-cpu" - }, - { - "name": "spapr-xive", - "parent": "xive-router" - }, - { - "name": "7448_v1.0-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "mpc8544_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "xlnx.xps-uartlite", - "parent": "sys-bus-device" - }, - { - "name": "e5500-powerpc64-cpu", - "parent": "e5500-family-powerpc64-cpu" - }, - { - "name": "pci-serial", - "parent": "pci-device" - }, - { - "name": "mpc8560_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "ipmi-bmc-extern", - "parent": "ipmi-bmc" - }, - { - "name": "xive-tctx", - "parent": "device" + "name": "750_v3.1-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" }, { "name": "745_v2.7-powerpc64-cpu", "parent": "745-family-powerpc64-cpu" }, { - "name": "i8042", - "parent": "isa-device" + "name": "750p-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "pnv8-chip", + "parent": "pnv-chip" + }, + { + "name": "e200z5-powerpc64-cpu", + "parent": "e200-family-powerpc64-cpu" + }, + { + "name": "mpc8641-powerpc64-cpu", + "parent": "e600-family-powerpc64-cpu" }, { "name": "i82559c", "parent": "pci-device" }, - { - "name": "750cxe_v2.3-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "i82559a", - "parent": "pci-device" - }, { "name": "i82559b", "parent": "pci-device" }, { - "name": "macio-bus", - "parent": "bus" - }, - { - "name": "vhost-user-scsi-pci", - "parent": "vhost-user-scsi-pci-base" - }, - { - "name": "virtio-blk-pci-non-transitional", - "parent": "virtio-blk-pci-base" - }, - { - "name": "SCSI", - "parent": "bus" - }, - { - "name": "rocker", + "name": "i82559a", "parent": "pci-device" }, { - "name": "pcnet", - "parent": "pci-device" + "name": "xio3130-downstream", + "parent": "pcie-slot" }, { - "name": "rng-egd", - "parent": "rng-backend" + "name": "970mp_v1.0-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" }, { - "name": "7400_v2.0-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "spapr-tce-table", - "parent": "device" - }, - { - "name": "405cra-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "sm501", - "parent": "pci-device" - }, - { - "name": "chardev-testdev", - "parent": "chardev" - }, - { - "name": "e200z5-powerpc64-cpu", - "parent": "e200-family-powerpc64-cpu" + "name": "sysbus-m48t59", + "parent": "sysbus-m48txx" }, { "name": "740_v3.1-powerpc64-cpu", "parent": "740-family-powerpc64-cpu" }, { - "name": "migration", - "parent": "device" + "name": "power7_v2.3-spapr-cpu-core", + "parent": "spapr-cpu-core" }, { - "name": "usb-storage", - "parent": "usb-storage-dev" + "name": "7447a_v1.1-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" }, { - "name": "filter-dump", - "parent": "netfilter" + "name": "7445_v1.0-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" }, { - "name": "i82558b", - "parent": "pci-device" + "name": "IDE", + "parent": "bus" }, { - "name": "power8_v2.0-powerpc64-cpu", - "parent": "POWER8-family-powerpc64-cpu" + "name": "openpic", + "parent": "sys-bus-device" }, { - "name": "tls-creds-x509", - "parent": "tls-creds" + "name": "ccid-bus", + "parent": "bus" }, { - "name": "401d2-powerpc64-cpu", - "parent": "401x2-family-powerpc64-cpu" - }, - { - "name": "i82558a", - "parent": "pci-device" - }, - { - "name": "smbus-eeprom", - "parent": "smbus-device" - }, - { - "name": "mpc8543_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8548e_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8347eap-powerpc64-cpu", + "name": "mpc8377-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, - { - "name": "mpc8543e_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "host-powerpc64-cpu", - "parent": "power8e_v2.1-powerpc64-cpu" - }, - { - "name": "i82801", - "parent": "pci-device" - }, - { - "name": "chardev-mux", - "parent": "chardev" - }, - { - "name": "virtio-net-pci", - "parent": "virtio-net-pci-base" - }, - { - "name": "virtio-keyboard-device", - "parent": "virtio-input-hid-device" - }, - { - "name": "i82562", - "parent": "pci-device" - }, - { - "name": "nec-usb-xhci", - "parent": "base-xhci" - }, - { - "name": "usb-net", - "parent": "usb-device" - }, - { - "name": "throttle-group", - "parent": "object" - }, { "name": "filter-mirror", "parent": "netfilter" }, { - "name": "secret", - "parent": "object" - }, - { - "name": "chardev-memory", - "parent": "chardev-ringbuf" - }, - { - "name": "power9_v2.0-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "603e_v1.3-powerpc64-cpu", + "name": "603e7v-powerpc64-cpu", "parent": "603E-family-powerpc64-cpu" }, { - "name": "755_v1.0-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" + "name": "i82558b", + "parent": "pci-device" + }, + { + "name": "i82558a", + "parent": "pci-device" + }, + { + "name": "power9_v2.0-powernv-cpu-core", + "parent": "powernv-cpu-core" + }, + { + "name": "VGA", + "parent": "pci-vga" + }, + { + "name": "750_v3.0-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "745_v2.6-powerpc64-cpu", + "parent": "745-family-powerpc64-cpu" + }, + { + "name": "pci-bridge-seat", + "parent": "pci-bridge" + }, + { + "name": "power9_v2.0-pnv-chip", + "parent": "pnv9-chip" + }, + { + "name": "ivshmem-plain", + "parent": "ivshmem-common" + }, + { + "name": "x3130-upstream", + "parent": "pcie-port" + }, + { + "name": "mpc5200b_v20-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "440epa-powerpc64-cpu", + "parent": "440EP-family-powerpc64-cpu" + }, + { + "name": "virtio-blk-pci-non-transitional", + "parent": "virtio-blk-pci-base" }, { "name": "i82557c", @@ -2357,56 +3029,76 @@ "parent": "pci-device" }, { - "name": "mpc8347t-powerpc64-cpu", + "name": "740_v3.0-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "tpci200", + "parent": "pci-device" + }, + { + "name": "i82562", + "parent": "pci-device" + }, + { + "name": "xive-tctx", + "parent": "device" + }, + { + "name": "tls-creds-psk", + "parent": "tls-creds" + }, + { + "name": "750cxe_v3.1b-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "rs6000-mc", + "parent": "isa-device" + }, + { + "name": "750e-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "filter-replay", + "parent": "netfilter" + }, + { + "name": "mpc8349ea-powerpc64-cpu", "parent": "e300-family-powerpc64-cpu" }, { - "name": "can-host-socketcan", - "parent": "can-host" + "name": "vfio-pci-nohotplug", + "parent": "vfio-pci" }, { - "name": "uni-north", - "parent": "sys-bus-device" + "name": "ppc4xx-pcihost", + "parent": "pci-host-bridge" }, { - "name": "isa-i8259", - "parent": "pic-common" + "name": "isa-pcspk", + "parent": "isa-device" }, { - "name": "virtio-gpu-pci", - "parent": "virtio-gpu-pci-base-type" + "name": "virtio-balloon-pci", + "parent": "virtio-balloon-pci-base" }, { - "name": "mpc8548_v20-powerpc64-cpu", + "name": "405lp-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "mpc8548e_v21-powerpc64-cpu", "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "power8nvl_v1.0-pnv-chip", - "parent": "pnv8-chip" + "name": "sungem", + "parent": "pci-device" }, { - "name": "ppc4xx-ehci-usb", - "parent": "sysbus-ehci-usb" - }, - { - "name": "mpc8343-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "mpc8560_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "input-barrier", - "parent": "object" - }, - { - "name": "sdhci-bus", - "parent": "sd-bus" - }, - { - "name": "usb-mouse", - "parent": "usb-hid" + "name": "virtio-net-pci-transitional", + "parent": "virtio-net-pci-base" }, { "name": "i82551", @@ -2417,555 +3109,127 @@ "parent": "pci-device" }, { - "name": "pseries-2.12-machine", - "parent": "spapr-machine" + "name": "power10_v1.0-pnv-chip", + "parent": "pnv10-chip" }, { - "name": "macio-gpio", - "parent": "sys-bus-device" + "name": "970fx_v3.1-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" }, { "name": "405d2-powerpc64-cpu", "parent": "405-family-powerpc64-cpu" }, { - "name": "piix3-usb-uhci", - "parent": "pci-uhci-usb" - }, - { - "name": "mpc8540_v20-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "7455_v3.3-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "IndustryPack", - "parent": "bus" - }, - { - "name": "e500v2_v30-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "pseries-2.11-machine", - "parent": "spapr-machine" - }, - { - "name": "power9_v2.0-pnv-chip", - "parent": "pnv9-chip" - }, - { - "name": "host-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "macio-ide", - "parent": "sys-bus-device" - }, - { - "name": "virtio-crypto-pci", - "parent": "virtio-crypto-pci-base-type" - }, - { - "name": "755_v2.0-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "ich9-usb-ehci2", - "parent": "pci-ehci-usb" - }, - { - "name": "ich9-usb-ehci1", - "parent": "pci-ehci-usb" - }, - { - "name": "xive-source", - "parent": "device" - }, - { - "name": "vfio-pci", - "parent": "pci-device" - }, - { - "name": "prep-machine", - "parent": "machine" - }, - { - "name": "pvscsi", - "parent": "pci-device" - }, - { - "name": "irq", - "parent": "object" - }, - { - "name": "pseries-2.10-machine", - "parent": "spapr-machine" - }, - { - "name": "apple-desktop-bus", - "parent": "bus" - }, - { - "name": "memory-backend-memfd", - "parent": "memory-backend" - }, - { - "name": "isa-ide", - "parent": "isa-device" - }, - { - "name": "745_v2.3-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "7410_v1.3-powerpc64-cpu", - "parent": "7410-family-powerpc64-cpu" - }, - { - "name": "755_v2.5-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "7450_v1.2-powerpc64-cpu", - "parent": "7450-family-powerpc64-cpu" - }, - { - "name": "7457_v1.0-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "qio-channel-socket", - "parent": "qio-channel" - }, - { - "name": "pc-dimm", - "parent": "device" - }, - { - "name": "pnv9-chip", - "parent": "pnv-chip" - }, - { - "name": "ich9-ahci", - "parent": "pci-device" - }, - { - "name": "750l_v2.1-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "mpc8543e_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "virtio-rng-pci-non-transitional", - "parent": "virtio-rng-pci-base" - }, - { - "name": "virtserialport", - "parent": "virtio-serial-port" - }, - { - "name": "virtio-serial-pci-non-transitional", - "parent": "virtio-serial-pci-base" - }, - { - "name": "mpc8641d-powerpc64-cpu", - "parent": "e600-family-powerpc64-cpu" - }, - { - "name": "pseries-3.0-machine", - "parent": "spapr-machine" - }, - { - "name": "750cxe_v2.4-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "i82378", - "parent": "pci-device" - }, - { - "name": "chardev-stdio", - "parent": "chardev-fd" - }, - { - "name": "750gx_v1.1-powerpc64-cpu", - "parent": "750gx-family-powerpc64-cpu" - }, - { - "name": "mpc8541_v11-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "gus", - "parent": "isa-device" - }, - { - "name": "e500_v20-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "cobra-powerpc64-cpu", - "parent": "401-family-powerpc64-cpu" - }, - { - "name": "i82374", - "parent": "isa-device" - }, - { - "name": "7445_v2.1-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "440-xilinx-w-dfpu-powerpc64-cpu", - "parent": "440x5wDFPU-family-powerpc64-cpu" - }, - { - "name": "vhost-vsock-pci-non-transitional", - "parent": "vhost-vsock-pci-base" - }, - { - "name": "7400_v2.1-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "604-powerpc64-cpu", - "parent": "604-family-powerpc64-cpu" - }, - { - "name": "g3beige-machine", - "parent": "machine" - }, - { - "name": "405crb-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "fusbh200-ehci-usb", - "parent": "sysbus-ehci-usb" - }, - { - "name": "cryptodev-backend", - "parent": "object" - }, - { - "name": "7441_v2.3-powerpc64-cpu", - "parent": "7440-family-powerpc64-cpu" - }, - { - "name": "7448_v2.1-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "tls-creds-anon", - "parent": "tls-creds" - }, - { - "name": "603e7-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "adb-mouse", - "parent": "adb-device" - }, - { - "name": "spapr-drc-phb", - "parent": "spapr-drc-logical" - }, - { - "name": "authz-pam", - "parent": "authz" - }, - { - "name": "or-irq", - "parent": "device" - }, - { - "name": "mpc8543_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "750cx_v2.2-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "ipmi-bmc-sim", - "parent": "ipmi-bmc" - }, - { - "name": "filter-buffer", - "parent": "netfilter" - }, - { - "name": "virtio-pci-bus", - "parent": "virtio-bus" - }, - { - "name": "7451_v2.10-powerpc64-cpu", - "parent": "7450-family-powerpc64-cpu" - }, - { - "name": "401c2-powerpc64-cpu", - "parent": "401x2-family-powerpc64-cpu" - }, - { - "name": "chardev-vc", - "parent": "chardev" - }, - { - "name": "imx-usdhc", - "parent": "generic-sdhci" - }, - { - "name": "virtio-rng-pci", - "parent": "virtio-rng-pci-base" - }, - { - "name": "ioh3420", - "parent": "pcie-root-port-base" - }, - { - "name": "bamboo-machine", - "parent": "machine" - }, - { - "name": "mpc8543_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "pr-manager-helper", - "parent": "pr-manager" - }, - { - "name": "filter-replay", - "parent": "netfilter" - }, - { - "name": "603e_v1.4-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "vhost-user-vga", - "parent": "vhost-user-vga-base-type" - }, - { - "name": "970mp_v1.1-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "pseries-2.12-sxxm-machine", - "parent": "spapr-machine" - }, - { - "name": "dec-21154-sysbus", - "parent": "pci-host-bridge" - }, - { - "name": "e1000-82545em", - "parent": "e1000-base" - }, - { - "name": "chardev-wctablet", - "parent": "chardev" - }, - { - "name": "uni-north-pci-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "vhost-user-blk-pci-transitional", - "parent": "vhost-user-blk-pci-base" - }, - { - "name": "spapr-vty", - "parent": "vio-spapr-device" - }, - { - "name": "power9_v1.0-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "7441_v2.10-powerpc64-cpu", - "parent": "7440-family-powerpc64-cpu" - }, - { - "name": "mpc8347et-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "ib700", - "parent": "isa-device" - }, - { - "name": "vhost-user-gpu-pci", - "parent": "vhost-user-gpu-pci-base-type" - }, - { - "name": "i6300esb", - "parent": "pci-device" - }, - { - "name": "7400_v1.1-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "piix4-usb-uhci", - "parent": "pci-uhci-usb" - }, - { - "name": "PCI", - "parent": "bus" - }, - { - "name": "7455_v3.4-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "e1000-82544gc", - "parent": "e1000-base" - }, - { - "name": "740_v2.2-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "7448_v1.1-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "pseries-3.1-machine", - "parent": "spapr-machine" - }, - { - "name": "mpc8548_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8545_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8343ea-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "npe405l-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" + "name": "can-host-socketcan", + "parent": "can-host" }, { "name": "prep-systemio", "parent": "isa-device" }, { - "name": "745_v2.8-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" + "name": "virtio-input-host-device", + "parent": "virtio-input-device" }, { - "name": "e500-spin", - "parent": "sys-bus-device" + "name": "kvaser_pci", + "parent": "pci-device" }, { - "name": "virtio-9p-pci", - "parent": "virtio-9p-pci-base" + "name": "pseries-2.2-machine", + "parent": "spapr-machine" }, { - "name": "755_v2.1-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" + "name": "mpc8555e_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "usb-wacom-tablet", - "parent": "usb-device" + "name": "401c2-powerpc64-cpu", + "parent": "401x2-family-powerpc64-cpu" + }, + { + "name": "powernv-cpu-quad", + "parent": "device" + }, + { + "name": "405ez-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "e500v2_v30-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "750fx_v2.2-powerpc64-cpu", + "parent": "750fx-family-powerpc64-cpu" + }, + { + "name": "e500-pcihost", + "parent": "pci-host-bridge" }, { "name": "mos6522-pmu", "parent": "mos6522" }, { - "name": "ISA", - "parent": "bus" + "name": "virtserialport", + "parent": "virtio-serial-port" }, { - "name": "mpc8544ds-machine", - "parent": "ppce500-base-machine" - }, - { - "name": "mpc8540_v10-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "chardev-pty", - "parent": "chardev" - }, - { - "name": "pci-serial-4x", - "parent": "pci-device" - }, - { - "name": "ich9-intel-hda", - "parent": "intel-hda-generic" - }, - { - "name": "virtio-9p-device", - "parent": "virtio-device" - }, - { - "name": "power8nvl_v1.0-powerpc64-cpu", - "parent": "POWER8-family-powerpc64-cpu" - }, - { - "name": "e500v2_v20-powerpc64-cpu", + "name": "mpc8543e_v21-powerpc64-cpu", "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "authz-list", - "parent": "authz" + "name": "401a1-powerpc64-cpu", + "parent": "401-family-powerpc64-cpu" }, { - "name": "isa-ipmi-bt", + "name": "dec-21154", + "parent": "pci-device" + }, + { + "name": "7410_v1.0-powerpc64-cpu", + "parent": "7410-family-powerpc64-cpu" + }, + { + "name": "mpc8641d-powerpc64-cpu", + "parent": "e600-family-powerpc64-cpu" + }, + { + "name": "mpc8548e_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "i82378", + "parent": "pci-device" + }, + { + "name": "chardev-file", + "parent": "chardev-fd" + }, + { + "name": "i82374", "parent": "isa-device" }, { - "name": "qemu-console", - "parent": "object" + "name": "ref405ep-machine", + "parent": "machine" }, { - "name": "mpc8349ea-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" + "name": "970fx_v3.0-powerpc64-cpu", + "parent": "970-family-powerpc64-cpu" }, { - "name": "x3130-upstream", - "parent": "pcie-port" + "name": "virtio-iommu-device", + "parent": "virtio-device" }, { - "name": "7457a_v1.0-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "pci-testdev", + "name": "lsi53c895a", "parent": "pci-device" }, { - "name": "mpc8547e_v20-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "e200z6-powerpc64-cpu", - "parent": "e200-family-powerpc64-cpu" - }, - { - "name": "vhost-user-blk-pci-non-transitional", - "parent": "vhost-user-blk-pci-base" - }, - { - "name": "ppce500-machine", - "parent": "ppce500-base-machine" - }, - { - "name": "vhost-user-backend", + "name": "input-barrier", "parent": "object" }, { @@ -2973,736 +3237,572 @@ "parent": "750-family-powerpc64-cpu" }, { - "name": "ipoctal232", - "parent": "ipack-device" + "name": "sysbus-m48t08", + "parent": "sysbus-m48txx" }, { - "name": "ES1370", - "parent": "pci-device" - }, - { - "name": "spapr-drc-lmb", - "parent": "spapr-drc-logical" - }, - { - "name": "440epx-powerpc64-cpu", - "parent": "440EP-family-powerpc64-cpu" - }, - { - "name": "405gpa-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "7400_v2.6-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "m41t80", - "parent": "i2c-slave" - }, - { - "name": "mpc-i2c", - "parent": "sys-bus-device" - }, - { - "name": "virtio-tablet-device", - "parent": "virtio-input-hid-device" - }, - { - "name": "750_v3.0-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "virtio-scsi-pci", - "parent": "virtio-scsi-pci-base" - }, - { - "name": "mpc8543e_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "603e7v-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "power7_v2.3-powerpc64-cpu", - "parent": "POWER7-family-powerpc64-cpu" - }, - { - "name": "mpc8544-guts", - "parent": "sys-bus-device" - }, - { - "name": "mpc8555e_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8347p-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "power9_v2.0-powerpc64-cpu", - "parent": "POWER9-family-powerpc64-cpu" - }, - { - "name": "openpic", - "parent": "sys-bus-device" - }, - { - "name": "mpc8541e_v10-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "e500_v10-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "powernv-cpu-quad", - "parent": "device" - }, - { - "name": "750cxe_v3.0-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" - }, - { - "name": "virtio-balloon-pci-transitional", - "parent": "virtio-balloon-pci-base" - }, - { - "name": "power8_v2.0-powernv-cpu-core", - "parent": "powernv-cpu-core" - }, - { - "name": "755_v1.1-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "tcg-accel", - "parent": "accel" - }, - { - "name": "usb-bus", - "parent": "bus" - }, - { - "name": "virtconsole", - "parent": "virtserialport" - }, - { - "name": "750_v1.0-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "750l_v3.2-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "pci-serial-2x", - "parent": "pci-device" - }, - { - "name": "ne2k_isa", - "parent": "isa-device" - }, - { - "name": "isa-fdc", - "parent": "isa-device" - }, - { - "name": "vhost-user-scsi-pci-transitional", - "parent": "vhost-user-scsi-pci-base" - }, - { - "name": "esp", - "parent": "sys-bus-device" - }, - { - "name": "mpc8548_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "i82801b11-bridge", - "parent": "base-pci-bridge" - }, - { - "name": "7445_v3.2-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "colo-compare", - "parent": "object" - }, - { - "name": "usb-braille", - "parent": "usb-serial-dev" - }, - { - "name": "spapr-drc-pci", - "parent": "spapr-drc-physical" - }, - { - "name": "e1000e", - "parent": "pci-device" - }, - { - "name": "sii3112", - "parent": "pci-ide" - }, - { - "name": "403gcx-powerpc64-cpu", - "parent": "403GCX-family-powerpc64-cpu" - }, - { - "name": "power8e_v2.1-pnv-chip", - "parent": "pnv8-chip" - }, - { - "name": "virtio-tablet-pci", - "parent": "virtio-tablet-pci-base-type" - }, - { - "name": "mpc8543_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8540_v21-powerpc64-cpu", - "parent": "e500v1-family-powerpc64-cpu" - }, - { - "name": "rs6000-mc", - "parent": "isa-device" - }, - { - "name": "mpc8347ep-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "mpc8349-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "ivshmem-plain", - "parent": "ivshmem-common" - }, - { - "name": "kvm-openpic", - "parent": "sys-bus-device" - }, - { - "name": "ne2k_pci", - "parent": "pci-device" - }, - { - "name": "401b2-powerpc64-cpu", - "parent": "401x2-family-powerpc64-cpu" - }, - { - "name": "vhost-user-scsi", - "parent": "vhost-scsi-common" - }, - { - "name": "virtex-ml507-machine", - "parent": "machine" - }, - { - "name": "usb-kbd", - "parent": "usb-hid" - }, - { - "name": "750_v2.0-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "e500-host-bridge", - "parent": "pci-device" - }, - { - "name": "7410_v1.4-powerpc64-cpu", - "parent": "7410-family-powerpc64-cpu" - }, - { - "name": "g2ls-powerpc64-cpu", - "parent": "G2-family-powerpc64-cpu" - }, - { - "name": "isabus-bridge", - "parent": "sys-bus-device" - }, - { - "name": "npe405h-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "power9_v1.0-powerpc64-cpu", - "parent": "POWER9-family-powerpc64-cpu" - }, - { - "name": "vhost-user-blk", + "name": "virtio-blk-device", "parent": "virtio-device" }, { - "name": "970mp_v1.0-spapr-cpu-core", - "parent": "spapr-cpu-core" + "name": "7451_v2.10-powerpc64-cpu", + "parent": "7450-family-powerpc64-cpu" }, { - "name": "755_v2.6-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" + "name": "pnv-phb4-iommu-memory-region", + "parent": "qemu:iommu-memory-region" }, { - "name": "401a1-powerpc64-cpu", - "parent": "401-family-powerpc64-cpu" - }, - { - "name": "745_v2.4-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "604e_v2.2-powerpc64-cpu", - "parent": "604E-family-powerpc64-cpu" - }, - { - "name": "970fx_v3.0-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" - }, - { - "name": "7457_v1.1-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" - }, - { - "name": "u3-agp-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "spapr-vio-bridge", - "parent": "sys-bus-device" - }, - { - "name": "hda-duplex", - "parent": "hda-audio" - }, - { - "name": "authz-simple", - "parent": "authz" - }, - { - "name": "virtio-9p-pci-transitional", - "parent": "virtio-9p-pci-base" - }, - { - "name": "mptsas1068", - "parent": "pci-device" - }, - { - "name": "qio-channel-buffer", - "parent": "qio-channel" - }, - { - "name": "none-machine", - "parent": "machine" - }, - { - "name": "raven-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "virtio-balloon-device", - "parent": "virtio-device" - }, - { - "name": "sysbus-fdc", - "parent": "base-sysbus-fdc" - }, - { - "name": "750fx_v1.0-powerpc64-cpu", - "parent": "750fx-family-powerpc64-cpu" - }, - { - "name": "750gx_v1.2-powerpc64-cpu", - "parent": "750gx-family-powerpc64-cpu" - }, - { - "name": "megasas-gen2", - "parent": "megasas-base" - }, - { - "name": "nvme", - "parent": "pci-device" - }, - { - "name": "usb-tablet", - "parent": "usb-hid" - }, - { - "name": "970fx_v1.0-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" - }, - { - "name": "7400_v2.2-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "e500v2_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8572e-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "e300c1-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" - }, - { - "name": "vhost-scsi-pci-transitional", - "parent": "vhost-scsi-pci-base" - }, - { - "name": "7447a_v1.0-powerpc64-cpu", - "parent": "7445-family-powerpc64-cpu" - }, - { - "name": "403ga-powerpc64-cpu", - "parent": "403-family-powerpc64-cpu" - }, - { - "name": "405crc-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "740e-powerpc64-cpu", - "parent": "740-family-powerpc64-cpu" - }, - { - "name": "scsi-disk", - "parent": "scsi-disk-base" - }, - { - "name": "vhost-user-input-pci", - "parent": "vhost-user-input-pci-base-type" - }, - { - "name": "pnv-xive", - "parent": "xive-router" - }, - { - "name": "970mp_v1.0-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" - }, - { - "name": "603e7v1-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "e500mc-powerpc64-cpu", - "parent": "e500mc-family-powerpc64-cpu" - }, - { - "name": "e500v2_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "intel-hda", - "parent": "intel-hda-generic" - }, - { - "name": "mpc8544e_v10-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "kvm-accel", - "parent": "accel" - }, - { - "name": "power8nvl_v1.0-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "7400_v2.7-powerpc64-cpu", - "parent": "7400-family-powerpc64-cpu" - }, - { - "name": "virtio-scsi-device", - "parent": "virtio-scsi-common" - }, - { - "name": "405gpb-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "603p-powerpc64-cpu", - "parent": "603E-family-powerpc64-cpu" - }, - { - "name": "grackle", - "parent": "pci-device" - }, - { - "name": "970_v2.2-spapr-cpu-core", - "parent": "spapr-cpu-core" - }, - { - "name": "AC97", - "parent": "pci-device" - }, - { - "name": "750fx_v2.0-powerpc64-cpu", - "parent": "750fx-family-powerpc64-cpu" - }, - { - "name": "ds1338", - "parent": "i2c-slave" - }, - { - "name": "virtio-blk-pci-transitional", - "parent": "virtio-blk-pci-base" - }, - { - "name": "power9_v2.0-powernv-cpu-core", - "parent": "powernv-cpu-core" - }, - { - "name": "970fx_v2.0-powerpc64-cpu", - "parent": "970-family-powerpc64-cpu" - }, - { - "name": "sd-card", + "name": "pc-dimm", "parent": "device" }, { - "name": "chardev-socket", - "parent": "chardev" - }, - { - "name": "i2c-bus", - "parent": "bus" - }, - { - "name": "isa-pit", - "parent": "pit-common" - }, - { - "name": "virtio-mouse-device", - "parent": "virtio-input-hid-device" - }, - { - "name": "g2hip3-powerpc64-cpu", - "parent": "G2-family-powerpc64-cpu" - }, - { - "name": "mos6522-cuda", - "parent": "mos6522" - }, - { - "name": "mpc8572-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "750e-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" - }, - { - "name": "ics-spapr", - "parent": "ics" - }, - { - "name": "g2le-powerpc64-cpu", - "parent": "G2LE-family-powerpc64-cpu" - }, - { - "name": "container", - "parent": "object" - }, - { - "name": "macio-nvram", - "parent": "sys-bus-device" - }, - { - "name": "pnv-lpc-POWER9", - "parent": "pnv-lpc" - }, - { - "name": "pnv-lpc-POWER8", - "parent": "pnv-lpc" - }, - { - "name": "chardev-null", - "parent": "chardev" - }, - { - "name": "grackle-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "xive-end-source", - "parent": "device" - }, - { - "name": "isa-m48t59", - "parent": "isa-m48txx" - }, - { - "name": "uni-north-internal-pci", - "parent": "pci-device" - }, - { - "name": "kvaser_pci", - "parent": "pci-device" - }, - { - "name": "e500-pcihost", - "parent": "pci-host-bridge" - }, - { - "name": "ide-drive", - "parent": "ide-device" - }, - { - "name": "sam460ex-machine", - "parent": "machine" - }, - { - "name": "generic-sdhci", - "parent": "sys-bus-device" - }, - { - "name": "pvrdma", - "parent": "pci-device" - }, - { - "name": "ref405ep-machine", - "parent": "machine" - }, - { - "name": "vfio-platform", - "parent": "sys-bus-device" - }, - { - "name": "405d4-powerpc64-cpu", - "parent": "405-family-powerpc64-cpu" - }, - { - "name": "virtio-net-pci-non-transitional", - "parent": "virtio-net-pci-base" - }, - { - "name": "sungem", - "parent": "pci-device" - }, - { - "name": "mpc8548_v11-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "mpc8545_v21-powerpc64-cpu", - "parent": "e500v2-family-powerpc64-cpu" - }, - { - "name": "chardev-serial", - "parent": "chardev-fd" - }, - { - "name": "pnv-occ-POWER9", - "parent": "pnv-occ" - }, - { - "name": "pnv-occ-POWER8", - "parent": "pnv-occ" + "name": "sysbus-m48t02", + "parent": "sysbus-m48txx" }, { "name": "virtio-scsi-pci-non-transitional", "parent": "virtio-scsi-pci-base" }, { - "name": "7455_v1.0-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" + "name": "mpc8555e_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "mpc8377-powerpc64-cpu", - "parent": "e300-family-powerpc64-cpu" + "name": "602-powerpc64-cpu", + "parent": "602-family-powerpc64-cpu" }, { - "name": "745_v2.0-powerpc64-cpu", - "parent": "745-family-powerpc64-cpu" - }, - { - "name": "755_v2.2-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" - }, - { - "name": "filter-redirector", - "parent": "netfilter" - }, - { - "name": "vfio-calxeda-xgmac", - "parent": "vfio-platform" - }, - { - "name": "vmxnet3", + "name": "vfio-pci", "parent": "pci-device" }, { - "name": "750_v2.1-powerpc64-cpu", - "parent": "750-family-powerpc64-cpu" + "name": "750fx_v2.1-powerpc64-cpu", + "parent": "750fx-family-powerpc64-cpu" }, { - "name": "filter-rewriter", - "parent": "netfilter" + "name": "vhost-user-scsi-pci-non-transitional", + "parent": "vhost-user-scsi-pci-base" }, { - "name": "power8e_v2.1-powernv-cpu-core", - "parent": "powernv-cpu-core" + "name": "pnv-psi-POWER10", + "parent": "pnv-psi-POWER9" }, { - "name": "tegra2-ehci-usb", - "parent": "sysbus-ehci-usb" + "name": "chardev-pty", + "parent": "chardev" }, { - "name": "vhost-vsock-pci-transitional", - "parent": "vhost-vsock-pci-base" + "name": "mpc8543e_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "spapr-rtc", - "parent": "device" + "name": "sdhci-bus", + "parent": "sd-bus" }, { - "name": "rng-builtin", - "parent": "rng-backend" + "name": "pnv-phb3-iommu-memory-region", + "parent": "qemu:iommu-memory-region" }, { - "name": "755_v2.7-powerpc64-cpu", - "parent": "755-family-powerpc64-cpu" + "name": "smbus-eeprom", + "parent": "smbus-device" }, { - "name": "rng-random", - "parent": "rng-backend" + "name": "mpc8572e-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "750cxe_v2.1-powerpc64-cpu", - "parent": "750cx-family-powerpc64-cpu" + "name": "qemu:memory-region", + "parent": "object" }, { - "name": "750cl_v1.0-powerpc64-cpu", - "parent": "750cl-family-powerpc64-cpu" + "name": "vhost-user-scsi", + "parent": "vhost-scsi-common" }, { - "name": "7457a_v1.1-powerpc64-cpu", - "parent": "7455-family-powerpc64-cpu" + "name": "virtio-net-pci", + "parent": "virtio-net-pci-base" }, { - "name": "g2gp-powerpc64-cpu", - "parent": "G2-family-powerpc64-cpu" - }, - { - "name": "pnv-psi-POWER9", - "parent": "pnv-psi" + "name": "kvm-accel", + "parent": "accel" }, { "name": "mpc8547e_v21-powerpc64-cpu", "parent": "e500v2-family-powerpc64-cpu" }, { - "name": "pnv-psi-POWER8", - "parent": "pnv-psi" + "name": "sd-card", + "parent": "device" + }, + { + "name": "750cxe_v2.3-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "mpc8543_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "750cxe_v2.4b-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "603e_v1.3-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "7455_v3.3-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "piix4-usb-uhci", + "parent": "pci-uhci-usb" + }, + { + "name": "AC97", + "parent": "pci-device" + }, + { + "name": "7400_v2.2-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "601_v1-powerpc64-cpu", + "parent": "601-family-powerpc64-cpu" + }, + { + "name": "iop480-powerpc64-cpu", + "parent": "IOP480-family-powerpc64-cpu" + }, + { + "name": "mpc8349e-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "authz-simple", + "parent": "authz" + }, + { + "name": "ioh3420", + "parent": "pcie-root-port-base" + }, + { + "name": "403gcx-powerpc64-cpu", + "parent": "403GCX-family-powerpc64-cpu" + }, + { + "name": "pnv-phb3-root-bus", + "parent": "PCIE" + }, + { + "name": "tpm-emulator", + "parent": "tpm-backend" + }, + { + "name": "mpc8379e-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "mpc8545_v21-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "memory-backend-memfd", + "parent": "memory-backend" + }, + { + "name": "7445_v3.3-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "power8e_v2.1-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "spapr-tce-table", + "parent": "device" + }, + { + "name": "lsi53c810", + "parent": "lsi53c895a" + }, + { + "name": "isa-m48t59", + "parent": "isa-m48txx" + }, + { + "name": "mpc8547e_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "irq", + "parent": "object" + }, + { + "name": "401g2-powerpc64-cpu", + "parent": "401x2-family-powerpc64-cpu" + }, + { + "name": "750cxe_v2.2-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "mpc8544e_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "pc-testdev", + "parent": "isa-device" + }, + { + "name": "mpc8610-powerpc64-cpu", + "parent": "e600-family-powerpc64-cpu" + }, + { + "name": "container", + "parent": "object" + }, + { + "name": "603e_v1.2-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "7455_v3.2-powerpc64-cpu", + "parent": "7455-family-powerpc64-cpu" + }, + { + "name": "pseries-2.9-machine", + "parent": "spapr-machine" + }, + { + "name": "chardev-testdev", + "parent": "chardev" + }, + { + "name": "isa-serial", + "parent": "isa-device" + }, + { + "name": "7400_v2.1-powerpc64-cpu", + "parent": "7400-family-powerpc64-cpu" + }, + { + "name": "adlib", + "parent": "isa-device" + }, + { + "name": "601_v0-powerpc64-cpu", + "parent": "601-family-powerpc64-cpu" + }, + { + "name": "vhost-user-fs-pci", + "parent": "vhost-user-fs-pci-base" + }, + { + "name": "pnv-phb4", + "parent": "pcie-host-bridge" + }, + { + "name": "603p-powerpc64-cpu", + "parent": "603E-family-powerpc64-cpu" + }, + { + "name": "970mp_v1.1-spapr-cpu-core", + "parent": "spapr-cpu-core" + }, + { + "name": "gus", + "parent": "isa-device" + }, + { + "name": "pnv-phb3", + "parent": "pcie-host-bridge" + }, + { + "name": "can-bus", + "parent": "object" + }, + { + "name": "mpc8545_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "kvm-openpic", + "parent": "sys-bus-device" + }, + { + "name": "pci-serial", + "parent": "pci-device" + }, + { + "name": "e500-ccsr", + "parent": "sys-bus-device" + }, + { + "name": "power8nvl_v1.0-pnv-chip", + "parent": "pnv8-chip" + }, + { + "name": "7445_v3.2-powerpc64-cpu", + "parent": "7445-family-powerpc64-cpu" + }, + { + "name": "cpu-cluster", + "parent": "device" + }, + { + "name": "power10_v1.0-powernv-cpu-core", + "parent": "powernv-cpu-core" + }, + { + "name": "vhost-user-gpu-pci", + "parent": "vhost-user-gpu-pci-base-type" + }, + { + "name": "405gpd-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "chardev-gdb", + "parent": "chardev" + }, + { + "name": "750cx_v2.0-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "dc390", + "parent": "am53c974" + }, + { + "name": "750cxe_v2.1-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "mpc8544e_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "spapr-vscsi", + "parent": "vio-spapr-device" + }, + { + "name": "exynos4210-ehci-usb", + "parent": "sysbus-ehci-usb" + }, + { + "name": "7441_v2.10-powerpc64-cpu", + "parent": "7440-family-powerpc64-cpu" + }, + { + "name": "mpc8533_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "hda-output", + "parent": "hda-audio" + }, + { + "name": "npe405h-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "virtio-net-device", + "parent": "virtio-device" + }, + { + "name": "405cra-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "mpc8548e_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "macio-newworld", + "parent": "macio" + }, + { + "name": "i2c-bus", + "parent": "bus" + }, + { + "name": "macio-bus", + "parent": "bus" + }, + { + "name": "mpc8544_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "405gpc-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "usb-serial", + "parent": "usb-serial-dev" + }, + { + "name": "virtio-mouse-pci", + "parent": "virtio-mouse-pci-base-type" + }, + { + "name": "pseries-2.12-machine", + "parent": "spapr-machine" + }, + { + "name": "x2vp20-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "uni-north-internal-pci-pcihost", + "parent": "pci-host-bridge" + }, + { + "name": "qio-channel-websock", + "parent": "qio-channel" + }, + { + "name": "401b2-powerpc64-cpu", + "parent": "401x2-family-powerpc64-cpu" + }, + { + "name": "e500v2_v20-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "qio-dns-resolver", + "parent": "object" + }, + { + "name": "g3beige-machine", + "parent": "machine" + }, + { + "name": "755_v2.0-powerpc64-cpu", + "parent": "755-family-powerpc64-cpu" + }, + { + "name": "mpc8543e_v11-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "g2le-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "750cxr-powerpc64-cpu", + "parent": "750cx-family-powerpc64-cpu" + }, + { + "name": "440epx-powerpc64-cpu", + "parent": "440EP-family-powerpc64-cpu" + }, + { + "name": "fw_cfg_mem", + "parent": "fw_cfg" + }, + { + "name": "spapr-drc-pmem", + "parent": "spapr-drc-logical" + }, + { + "name": "mpc8548e_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "g2lels-powerpc64-cpu", + "parent": "G2LE-family-powerpc64-cpu" + }, + { + "name": "mpc8544_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "vhost-user-scsi-pci-transitional", + "parent": "vhost-user-scsi-pci-base" + }, + { + "name": "stb04-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "405gpb-powerpc64-cpu", + "parent": "405-family-powerpc64-cpu" + }, + { + "name": "virtio-blk-pci-transitional", + "parent": "virtio-blk-pci-base" + }, + { + "name": "raven", + "parent": "pci-device" + }, + { + "name": "pc87312", + "parent": "isa-superio" + }, + { + "name": "750_v2.0-powerpc64-cpu", + "parent": "750-family-powerpc64-cpu" + }, + { + "name": "via-pmu", + "parent": "sys-bus-device" + }, + { + "name": "ppc4xx-host-bridge", + "parent": "pci-device" + }, + { + "name": "mpc8555_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "mpc8543e_v10-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "usb-kbd", + "parent": "usb-hid" + }, + { + "name": "power8nvl_v1.0-powerpc64-cpu", + "parent": "POWER8-family-powerpc64-cpu" + }, + { + "name": "powernv9-machine", + "parent": "powernv-machine" + }, + { + "name": "mpc8545e_v21-powerpc64-cpu", + "parent": "e500v2-family-powerpc64-cpu" + }, + { + "name": "mpc8343-powerpc64-cpu", + "parent": "e300-family-powerpc64-cpu" + }, + { + "name": "cryptodev-vhost-user", + "parent": "cryptodev-backend" + }, + { + "name": "vfio-calxeda-xgmac", + "parent": "vfio-platform" + }, + { + "name": "740_v2.0-powerpc64-cpu", + "parent": "740-family-powerpc64-cpu" + }, + { + "name": "qio-channel-file", + "parent": "qio-channel" + }, + { + "name": "sysbus-ahci", + "parent": "sys-bus-device" } ], "id": "libvirt-6" @@ -3719,246 +3819,19 @@ { "return": [ { - "name": "iothread", - "type": "link" - }, - { - "name": "notify_on_empty", - "description": "on/off", - "type": "bool" - }, - { - "name": "request-merging", - "description": "on/off", - "type": "bool" - }, - { - "name": "secs", - "type": "uint32" - }, - { - "name": "min_io_size", - "type": "uint16" - }, - { - "name": "event_idx", - "description": "on/off", - "type": "bool" - }, - { - "name": "serial", - "type": "str" - }, - { - "name": "heads", - "type": "uint32" - }, - { - "name": "ioeventfd", - "description": "on/off", - "type": "bool" - }, - { - "name": "multifunction", - "description": "on/off", - "type": "bool" - }, - { + "default-value": 1, "name": "rombar", "type": "uint32" }, { - "name": "write-zeroes", - "description": "on/off", - "type": "bool" - }, - { - "name": "scsi", - "description": "on/off", - "type": "bool" - }, - { - "name": "cyls", - "type": "uint32" - }, - { - "name": "logical_block_size", - "description": "A power of two between 512 and 32768", - "type": "uint16" - }, - { - "name": "x-disable-pcie", - "description": "on/off", - "type": "bool" - }, - { - "name": "use-started", - "type": "bool" - }, - { - "name": "indirect_desc", - "description": "on/off", - "type": "bool" - }, - { - "name": "max-write-zeroes-sectors", - "type": "uint32" - }, - { - "name": "any_layout", - "description": "on/off", - "type": "bool" - }, - { - "name": "queue-size", - "type": "uint16" - }, - { - "name": "disable-modern", - "type": "bool" - }, - { - "name": "drive", - "description": "Node name or ID of a block device to use as a backend", - "type": "str" - }, - { - "name": "x-pcie-lnkctl-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "disable-legacy", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, - { - "name": "werror", - "description": "Error handling policy, report/ignore/enospc/stop/auto", - "type": "BlockdevOnError" - }, - { - "name": "max-discard-sectors", - "type": "uint32" - }, - { - "name": "discard_granularity", - "type": "uint32" - }, - { - "name": "rerror", - "description": "Error handling policy, report/ignore/enospc/stop/auto", - "type": "BlockdevOnError" - }, - { - "name": "page-per-vq", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-deverr-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-pm-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-flr-init", - "description": "on/off", - "type": "bool" - }, - { + "default-value": true, "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { - "name": "share-rw", - "type": "bool" - }, - { - "name": "physical_block_size", - "description": "A power of two between 512 and 32768", - "type": "uint16" - }, - { - "name": "config-wce", - "description": "on/off", - "type": "bool" - }, - { - "name": "class", - "type": "uint32" - }, - { - "name": "addr", - "description": "Slot and optional function number, example: 06.0 or 06", - "type": "int32" - }, - { - "name": "migrate-extra", - "description": "on/off", - "type": "bool" - }, - { - "name": "modern-pio-notify", - "description": "on/off", - "type": "bool" - }, - { - "name": "vectors", - "type": "uint32" - }, - { - "name": "iommu_platform", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-extcap-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "bootindex", - "type": "int32" - }, - { - "name": "virtio-backend", - "type": "child" - }, - { - "name": "x-ignore-backend-features", - "type": "bool" - }, - { - "name": "discard", - "description": "on/off", - "type": "bool" - }, - { - "name": "write-cache", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "num-queues", - "type": "uint16" - }, - { - "name": "opt_io_size", - "type": "uint32" - }, - { - "name": "ats", + "default-value": false, + "name": "multifunction", "description": "on/off", "type": "bool" }, @@ -3967,9 +3840,319 @@ "type": "str" }, { + "default-value": true, + "name": "x-pcie-extcap-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": -1, + "name": "addr", + "description": "Slot and optional function number, example: 06.0 or 06", + "type": "int32" + }, + { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": false, "name": "virtio-pci-bus-master-bug-migration", "description": "on/off", "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-lnkctl-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-flr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-ignore-backend-features", + "type": "bool" + }, + { + "default-value": false, + "name": "page-per-vq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "migrate-extra", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-pm-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "modern-pio-notify", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-deverr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "ats", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-disable-pcie", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 4294967295, + "name": "vectors", + "type": "uint32" + }, + { + "default-value": true, + "name": "ioeventfd", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "class", + "type": "uint32" + }, + { + "default-value": false, + "name": "disable-modern", + "type": "bool" + }, + { + "default-value": "auto", + "name": "disable-legacy", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": true, + "name": "request-merging", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "secs", + "type": "uint32" + }, + { + "default-value": 0, + "name": "min_io_size", + "type": "uint16" + }, + { + "default-value": true, + "name": "event_idx", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "lsecs", + "type": "uint32" + }, + { + "default-value": 0, + "name": "heads", + "type": "uint32" + }, + { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "default-value": true, + "name": "write-zeroes", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "cyls", + "type": "uint32" + }, + { + "default-value": 0, + "name": "logical_block_size", + "description": "A power of two between 512 and 32768", + "type": "uint16" + }, + { + "default-value": false, + "name": "scsi", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "lcyls", + "type": "uint32" + }, + { + "default-value": true, + "name": "indirect_desc", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 4194303, + "name": "max-write-zeroes-sectors", + "type": "uint32" + }, + { + "name": "drive", + "description": "Node name or ID of a block device to use as a backend", + "type": "str" + }, + { + "default-value": "auto", + "name": "werror", + "description": "Error handling policy, report/ignore/enospc/stop/auto", + "type": "BlockdevOnError" + }, + { + "default-value": 4294967295, + "name": "discard_granularity", + "type": "uint32" + }, + { + "default-value": "auto", + "name": "rerror", + "description": "Error handling policy, report/ignore/enospc/stop/auto", + "type": "BlockdevOnError" + }, + { + "default-value": true, + "name": "x-enable-wce-if-config-wce", + "type": "bool" + }, + { + "default-value": 0, + "name": "lheads", + "type": "uint32" + }, + { + "default-value": true, + "name": "any_layout", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "share-rw", + "type": "bool" + }, + { + "name": "iothread", + "type": "link" + }, + { + "default-value": true, + "name": "use-started", + "type": "bool" + }, + { + "name": "bootindex", + "type": "int32" + }, + { + "default-value": 0, + "name": "physical_block_size", + "description": "A power of two between 512 and 32768", + "type": "uint16" + }, + { + "default-value": false, + "name": "iommu_platform", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "packed", + "description": "on/off", + "type": "bool" + }, + { + "name": "virtio-backend", + "type": "child" + }, + { + "default-value": true, + "name": "seg-max-adjust", + "type": "bool" + }, + { + "default-value": true, + "name": "config-wce", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "discard", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "notify_on_empty", + "description": "on/off", + "type": "bool" + }, + { + "name": "serial", + "type": "str" + }, + { + "default-value": 256, + "name": "queue-size", + "type": "uint16" + }, + { + "default-value": 1, + "name": "num-queues", + "type": "uint16" + }, + { + "default-value": "auto", + "name": "write-cache", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": 4194303, + "name": "max-discard-sectors", + "type": "uint32" + }, + { + "default-value": 0, + "name": "opt_io_size", + "type": "uint32" } ], "id": "libvirt-7" @@ -3986,7 +4169,19 @@ { "return": [ { - "name": "ctrl_mac_addr", + "default-value": 1, + "name": "rombar", + "type": "uint32" + }, + { + "default-value": true, + "name": "x-pcie-lnksta-dllla", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "multifunction", "description": "on/off", "type": "bool" }, @@ -3995,240 +4190,177 @@ "type": "str" }, { - "name": "x-pcie-lnksta-dllla", - "description": "on/off", - "type": "bool" - }, - { - "name": "status", - "description": "on/off", - "type": "bool" - }, - { - "name": "notify_on_empty", - "description": "on/off", - "type": "bool" - }, - { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, - { - "name": "indirect_desc", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-pm-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "rsc_interval", - "type": "uint32" - }, - { - "name": "guest_csum", - "description": "on/off", - "type": "bool" - }, - { - "name": "speed", - "type": "int32" - }, - { - "name": "ctrl_rx", - "description": "on/off", - "type": "bool" - }, - { - "name": "ctrl_vq", - "description": "on/off", - "type": "bool" - }, - { - "name": "bootindex", - "type": "int32" - }, - { - "name": "multifunction", - "description": "on/off", - "type": "bool" - }, - { - "name": "disable-modern", - "type": "bool" - }, - { - "name": "mrg_rxbuf", - "description": "on/off", - "type": "bool" - }, - { - "name": "host_tso6", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-txtimer", - "type": "uint32" - }, - { - "name": "host_tso4", - "description": "on/off", - "type": "bool" - }, - { - "name": "rombar", - "type": "uint32" - }, - { - "name": "ctrl_rx_extra", - "description": "on/off", - "type": "bool" - }, - { - "name": "gso", - "description": "on/off", - "type": "bool" - }, - { - "name": "page-per-vq", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-disable-pcie", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-ignore-backend-features", - "type": "bool" - }, - { - "name": "x-txburst", - "type": "int32" - }, - { - "name": "iommu_platform", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-lnkctl-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "host_mtu", - "type": "uint16" - }, - { - "name": "ctrl_vlan", - "description": "on/off", - "type": "bool" - }, - { - "name": "virtio-backend", - "type": "child" - }, - { - "name": "event_idx", - "description": "on/off", - "type": "bool" - }, - { - "name": "vectors", - "type": "uint32" - }, - { - "name": "guest_announce", - "description": "on/off", - "type": "bool" - }, - { - "name": "host_ecn", + "default-value": true, + "name": "x-pcie-extcap-init", "description": "on/off", "type": "bool" }, { + "default-value": -1, "name": "addr", "description": "Slot and optional function number, example: 06.0 or 06", "type": "int32" }, { - "name": "ats", - "description": "on/off", - "type": "bool" - }, - { - "name": "host_ufo", - "description": "on/off", - "type": "bool" - }, - { - "name": "duplex", + "name": "failover_pair_id", "type": "str" }, { - "name": "guest_tso4", + "default-value": false, + "name": "virtio-pci-bus-master-bug-migration", "description": "on/off", "type": "bool" }, { - "name": "guest_tso6", - "description": "on/off", - "type": "bool" - }, - { - "name": "ctrl_guest_offloads", - "description": "on/off", - "type": "bool" - }, - { - "name": "csum", + "default-value": true, + "name": "x-pcie-lnkctl-init", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "x-pcie-flr-init", "description": "on/off", "type": "bool" }, { + "default-value": false, + "name": "x-ignore-backend-features", + "type": "bool" + }, + { + "default-value": false, + "name": "page-per-vq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "migrate-extra", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-pm-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, "name": "modern-pio-notify", "description": "on/off", "type": "bool" }, { + "default-value": true, + "name": "x-pcie-deverr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "ats", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-disable-pcie", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 3, + "name": "vectors", + "type": "uint32" + }, + { + "default-value": true, "name": "ioeventfd", "description": "on/off", "type": "bool" }, { - "name": "mq", + "default-value": false, + "name": "disable-modern", + "type": "bool" + }, + { + "default-value": "auto", + "name": "disable-legacy", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": true, + "name": "event_idx", "description": "on/off", "type": "bool" }, { - "name": "any_layout", - "description": "on/off", - "type": "bool" - }, - { - "name": "guest_ecn", + "default-value": false, + "name": "packed", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "guest_ufo", "description": "on/off", "type": "bool" }, { - "name": "virtio-pci-bus-master-bug-migration", + "default-value": true, + "name": "host_ecn", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 150000, + "name": "x-txtimer", + "type": "uint32" + }, + { + "default-value": false, + "name": "mq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "status", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 256, + "name": "tx_queue_size", + "type": "uint16" + }, + { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "name": "tx", + "type": "str" + }, + { + "default-value": true, + "name": "ctrl_rx_extra", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 300000, + "name": "rsc_interval", + "type": "uint32" + }, + { + "default-value": true, + "name": "indirect_desc", "description": "on/off", "type": "bool" }, @@ -4238,53 +4370,175 @@ "type": "str" }, { - "name": "tx_queue_size", + "default-value": 256, + "name": "rx_queue_size", "type": "uint16" }, { - "name": "use-started", - "type": "bool" - }, - { - "name": "disable-legacy", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "tx", - "type": "str" - }, - { - "name": "x-pcie-extcap-init", + "default-value": true, + "name": "guest_ecn", "description": "on/off", "type": "bool" }, { + "default-value": true, + "name": "guest_tso6", + "description": "on/off", + "type": "bool" + }, + { + "default-value": -1, + "name": "speed", + "type": "int32" + }, + { + "default-value": true, + "name": "guest_tso4", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "guest_csum", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "guest_announce", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "gso", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "ctrl_vq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "ctrl_rx", + "description": "on/off", + "type": "bool" + }, + { + "name": "duplex", + "type": "str" + }, + { + "default-value": true, + "name": "ctrl_vlan", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 256, + "name": "x-txburst", + "type": "int32" + }, + { + "default-value": true, + "name": "csum", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "mrg_rxbuf", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "ctrl_guest_offloads", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "any_layout", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "failover", + "type": "bool" + }, + { + "default-value": true, + "name": "ctrl_mac_addr", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "host_tso6", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, "name": "x-mtu-bypass-backend", "type": "bool" }, { - "name": "x-pcie-deverr-init", + "default-value": true, + "name": "host_tso4", "description": "on/off", "type": "bool" }, + { + "default-value": true, + "name": "host_ufo", + "description": "on/off", + "type": "bool" + }, + { + "name": "virtio-backend", + "type": "child" + }, + { + "name": "bootindex", + "type": "int32" + }, { "name": "netdev", "description": "ID of a netdev to use as a backend", "type": "str" }, { - "name": "migrate-extra", + "default-value": true, + "name": "use-started", + "type": "bool" + }, + { + "default-value": true, + "name": "notify_on_empty", "description": "on/off", "type": "bool" }, { + "default-value": false, "name": "guest_rsc_ext", "description": "on/off", "type": "bool" }, { - "name": "rx_queue_size", + "default-value": false, + "name": "iommu_platform", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "host_mtu", "type": "uint16" } ], @@ -4302,161 +4556,19 @@ { "return": [ { - "name": "use-started", - "type": "bool" - }, - { - "name": "event_idx", - "description": "on/off", - "type": "bool" - }, - { - "name": "ioeventfd", - "description": "on/off", - "type": "bool" - }, - { - "name": "multifunction", - "description": "on/off", - "type": "bool" - }, - { + "default-value": 1, "name": "rombar", "type": "uint32" }, { - "name": "virtqueue_size", - "type": "uint32" - }, - { - "name": "x-disable-pcie", - "description": "on/off", - "type": "bool" - }, - { - "name": "indirect_desc", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-lnkctl-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "disable-modern", - "type": "bool" - }, - { - "name": "num_queues", - "type": "uint32" - }, - { - "name": "cmd_per_lun", - "type": "uint32" - }, - { - "name": "disable-legacy", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, - { + "default-value": true, "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { - "name": "hotplug", - "description": "on/off", - "type": "bool" - }, - { - "name": "page-per-vq", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-deverr-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-pm-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-flr-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "max_sectors", - "type": "uint32" - }, - { - "name": "param_change", - "description": "on/off", - "type": "bool" - }, - { - "name": "any_layout", - "description": "on/off", - "type": "bool" - }, - { - "name": "iothread", - "type": "link" - }, - { - "name": "addr", - "description": "Slot and optional function number, example: 06.0 or 06", - "type": "int32" - }, - { - "name": "migrate-extra", - "description": "on/off", - "type": "bool" - }, - { - "name": "modern-pio-notify", - "description": "on/off", - "type": "bool" - }, - { - "name": "vectors", - "type": "uint32" - }, - { - "name": "x-pcie-extcap-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "virtio-backend", - "type": "child" - }, - { - "name": "x-ignore-backend-features", - "type": "bool" - }, - { - "name": "notify_on_empty", - "description": "on/off", - "type": "bool" - }, - { - "name": "iommu_platform", - "description": "on/off", - "type": "bool" - }, - { - "name": "ats", + "default-value": false, + "name": "multifunction", "description": "on/off", "type": "bool" }, @@ -4465,9 +4577,198 @@ "type": "str" }, { + "default-value": true, + "name": "x-pcie-extcap-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": -1, + "name": "addr", + "description": "Slot and optional function number, example: 06.0 or 06", + "type": "int32" + }, + { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": false, "name": "virtio-pci-bus-master-bug-migration", "description": "on/off", "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-lnkctl-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-flr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-ignore-backend-features", + "type": "bool" + }, + { + "default-value": false, + "name": "page-per-vq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "migrate-extra", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-pm-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "modern-pio-notify", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-deverr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "ats", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-disable-pcie", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 4294967295, + "name": "vectors", + "type": "uint32" + }, + { + "default-value": true, + "name": "ioeventfd", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "disable-modern", + "type": "bool" + }, + { + "default-value": "auto", + "name": "disable-legacy", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": true, + "name": "notify_on_empty", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 65535, + "name": "max_sectors", + "type": "uint32" + }, + { + "default-value": true, + "name": "any_layout", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 128, + "name": "cmd_per_lun", + "type": "uint32" + }, + { + "default-value": true, + "name": "indirect_desc", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "use-started", + "type": "bool" + }, + { + "default-value": true, + "name": "event_idx", + "description": "on/off", + "type": "bool" + }, + { + "name": "iothread", + "type": "link" + }, + { + "default-value": 256, + "name": "virtqueue_size", + "type": "uint32" + }, + { + "default-value": true, + "name": "hotplug", + "description": "on/off", + "type": "bool" + }, + { + "name": "virtio-backend", + "type": "child" + }, + { + "default-value": 1, + "name": "num_queues", + "type": "uint32" + }, + { + "default-value": true, + "name": "param_change", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "seg_max_adjust", + "type": "bool" + }, + { + "default-value": false, + "name": "iommu_platform", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "default-value": false, + "name": "packed", + "description": "on/off", + "type": "bool" } ], "id": "libvirt-9" @@ -4532,53 +4833,108 @@ { "return": [ { - "name": "x-igd-opregion", + "default-value": 1, + "name": "rombar", + "type": "uint32" + }, + { + "default-value": true, + "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { - "name": "x-pci-vendor-id", - "type": "uint32" - }, - { - "name": "yres", - "type": "uint32" - }, - { - "name": "x-pci-sub-device-id", - "type": "uint32" - }, - { - "name": "x-no-kvm-ioeventfd", - "type": "bool" - }, - { + "default-value": false, "name": "multifunction", "description": "on/off", "type": "bool" }, { - "name": "rombar", + "name": "romfile", + "type": "str" + }, + { + "default-value": true, + "name": "x-pcie-extcap-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": -1, + "name": "addr", + "description": "Slot and optional function number, example: 06.0 or 06", + "type": "int32" + }, + { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": 4294967295, + "name": "x-pci-sub-device-id", "type": "uint32" }, { + "default-value": false, "name": "x-no-kvm-msi", "type": "bool" }, { + "default-value": false, + "name": "x-igd-opregion", + "description": "on/off", + "type": "bool" + }, + { + "default-value": "off", + "name": "display", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": false, + "name": "x-vga", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 4294967295, + "name": "x-pci-vendor-id", + "type": "uint32" + }, + { + "default-value": false, + "name": "x-balloon-allowed", + "type": "bool" + }, + { + "default-value": true, "name": "x-req", "description": "on/off", "type": "bool" }, { - "name": "xres", - "type": "uint32" - }, - { + "default-value": false, "name": "x-no-vfio-ioeventfd", "type": "bool" }, { + "default-value": false, + "name": "x-no-geforce-quirks", + "type": "bool" + }, + { + "default-value": false, + "name": "x-no-kvm-ioeventfd", + "type": "bool" + }, + { + "default-value": 4294967295, + "name": "x-pci-device-id", + "type": "uint32" + }, + { + "default-value": false, "name": "x-no-kvm-intx", "type": "bool" }, @@ -4588,88 +4944,58 @@ "type": "str" }, { + "default-value": false, "name": "x-no-kvm-msix", "type": "bool" }, { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" + "default-value": 1100, + "name": "x-intx-mmap-timeout-ms", + "type": "uint32" }, { - "name": "x-balloon-allowed", - "type": "bool" + "default-value": 0, + "name": "yres", + "type": "uint32" }, { - "name": "x-pcie-lnksta-dllla", - "description": "on/off", - "type": "bool" + "default-value": 0, + "name": "x-igd-gms", + "type": "uint32" }, { + "default-value": 4294967295, "name": "x-pci-sub-vendor-id", "type": "uint32" }, - { - "name": "x-pci-device-id", - "type": "uint32" - }, - { - "name": "x-no-geforce-quirks", - "type": "bool" - }, - { - "name": "display", - "description": "on/off/auto", - "type": "OnOffAuto" - }, { "name": "x-nv-gpudirect-clique", "description": "NVIDIA GPUDirect Clique ID (0 - 15)", "type": "uint4" }, { - "name": "x-igd-gms", + "name": "sysfsdev", + "type": "str" + }, + { + "default-value": 0, + "name": "xres", "type": "uint32" }, { - "name": "addr", - "description": "Slot and optional function number, example: 06.0 or 06", - "type": "int32" - }, - { + "default-value": "off", "name": "x-msix-relocation", "description": "off/auto/bar0/bar1/bar2/bar3/bar4/bar5", "type": "OffAutoPCIBAR" }, { - "name": "x-intx-mmap-timeout-ms", - "type": "uint32" - }, - { - "name": "x-pcie-extcap-init", - "description": "on/off", - "type": "bool" - }, - { + "default-value": false, "name": "x-no-mmap", "type": "bool" }, { "name": "bootindex", "type": "int32" - }, - { - "name": "sysfsdev", - "type": "str" - }, - { - "name": "x-vga", - "description": "on/off", - "type": "bool" - }, - { - "name": "romfile", - "type": "str" } ], "id": "libvirt-13" @@ -4686,100 +5012,98 @@ { "return": [ { - "name": "min_io_size", - "type": "uint16" - }, - { - "name": "secs", - "type": "uint32" - }, - { - "name": "removable", - "description": "on/off", - "type": "bool" - }, - { - "name": "channel", - "type": "uint32" - }, - { - "name": "heads", + "default-value": 4294967295, + "name": "scsi-id", "type": "uint32" }, { + "default-value": 4294967295, "name": "lun", "type": "uint32" }, { + "default-value": 0, + "name": "channel", + "type": "uint32" + }, + { + "default-value": 0, + "name": "heads", + "type": "uint32" + }, + { + "default-value": 0, + "name": "port_index", + "type": "uint16" + }, + { + "default-value": false, "name": "dpofua", "description": "on/off", "type": "bool" }, { - "name": "ver", + "name": "serial", "type": "str" }, { - "name": "scsi-id", - "type": "uint32" - }, - { - "name": "cyls", - "type": "uint32" + "default-value": 1073741824, + "name": "max_unmap_size", + "type": "uint64" }, { + "default-value": 0, "name": "logical_block_size", "description": "A power of two between 512 and 32768", "type": "uint16" }, { + "default-value": 4294967295, + "name": "discard_granularity", + "type": "uint32" + }, + { + "default-value": 0, "name": "rotation_rate", "type": "uint16" }, + { + "name": "device_id", + "type": "str" + }, { "name": "drive", "description": "Node name or ID of a block device to use as a backend", "type": "str" }, { - "name": "scsi_version", - "type": "int32" - }, - { - "name": "werror", - "description": "Error handling policy, report/ignore/enospc/stop/auto", - "type": "BlockdevOnError" - }, - { - "name": "discard_granularity", - "type": "uint32" - }, - { + "default-value": 0, "name": "port_wwn", "type": "uint64" }, { - "name": "max_unmap_size", - "type": "uint64" + "default-value": 0, + "name": "opt_io_size", + "type": "uint32" }, { - "name": "rerror", - "description": "Error handling policy, report/ignore/enospc/stop/auto", - "type": "BlockdevOnError" + "default-value": 5, + "name": "scsi_version", + "type": "int32" }, { - "name": "max_io_size", - "type": "uint64" + "default-value": 0, + "name": "min_io_size", + "type": "uint16" }, { - "name": "device_id", - "type": "str" - }, - { - "name": "wwn", - "type": "uint64" + "default-value": "auto", + "name": "write-cache", + "description": "on/off/auto", + "type": "OnOffAuto" }, { + "default-value": false, "name": "share-rw", "type": "bool" }, @@ -4787,35 +5111,76 @@ "name": "product", "type": "str" }, + { + "default-value": 0, + "name": "lsecs", + "type": "uint32" + }, + { + "default-value": 0, + "name": "lheads", + "type": "uint32" + }, { "name": "vendor", "type": "str" }, { + "default-value": 0, + "name": "lcyls", + "type": "uint32" + }, + { + "default-value": 0, + "name": "secs", + "type": "uint32" + }, + { + "default-value": 0, + "name": "wwn", + "type": "uint64" + }, + { + "default-value": "auto", + "name": "werror", + "description": "Error handling policy, report/ignore/enospc/stop/auto", + "type": "BlockdevOnError" + }, + { + "default-value": false, + "name": "removable", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "cyls", + "type": "uint32" + }, + { + "name": "ver", + "type": "str" + }, + { + "default-value": "auto", + "name": "rerror", + "description": "Error handling policy, report/ignore/enospc/stop/auto", + "type": "BlockdevOnError" + }, + { + "default-value": 0, "name": "physical_block_size", "description": "A power of two between 512 and 32768", "type": "uint16" }, { - "name": "port_index", - "type": "uint16" + "default-value": 2147483647, + "name": "max_io_size", + "type": "uint64" }, { "name": "bootindex", "type": "int32" - }, - { - "name": "serial", - "type": "str" - }, - { - "name": "write-cache", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "opt_io_size", - "type": "uint32" } ], "id": "libvirt-14" @@ -4832,27 +5197,42 @@ { "return": [ { - "name": "serial", - "type": "str" + "default-value": 4294967295, + "name": "unit", + "type": "uint32" }, { + "default-value": 0, + "name": "lsecs", + "type": "uint32" + }, + { + "default-value": 0, "name": "secs", "type": "uint32" }, { + "name": "serial", + "type": "str" + }, + { + "default-value": 0, + "name": "lcyls", + "type": "uint32" + }, + { + "default-value": 0, "name": "logical_block_size", "description": "A power of two between 512 and 32768", "type": "uint16" }, { + "default-value": 4294967295, "name": "discard_granularity", "type": "uint32" }, { - "name": "bootindex", - "type": "int32" - }, - { + "default-value": 0, "name": "rotation_rate", "type": "uint16" }, @@ -4862,40 +5242,49 @@ "type": "str" }, { + "default-value": 0, "name": "heads", "type": "uint32" }, { + "default-value": 0, + "name": "opt_io_size", + "type": "uint32" + }, + { + "default-value": 0, + "name": "min_io_size", + "type": "uint16" + }, + { + "default-value": "auto", "name": "write-cache", "description": "on/off/auto", "type": "OnOffAuto" }, { + "default-value": false, "name": "share-rw", "type": "bool" }, { - "name": "min_io_size", - "type": "uint16" - }, - { - "name": "opt_io_size", - "type": "uint32" - }, - { + "default-value": "auto", "name": "bios-chs-trans", "description": "Logical CHS translation algorithm, auto/none/lba/large/rechs", "type": "BiosAtaTranslation" }, { - "name": "unit", + "default-value": 0, + "name": "lheads", "type": "uint32" }, { + "default-value": 0, "name": "wwn", "type": "uint64" }, { + "default-value": "auto", "name": "werror", "description": "Error handling policy, report/ignore/enospc/stop/auto", "type": "BlockdevOnError" @@ -4905,22 +5294,29 @@ "type": "str" }, { + "name": "ver", + "type": "str" + }, + { + "default-value": "auto", "name": "rerror", "description": "Error handling policy, report/ignore/enospc/stop/auto", "type": "BlockdevOnError" }, { - "name": "ver", - "type": "str" - }, - { + "default-value": 0, "name": "physical_block_size", "description": "A power of two between 512 and 32768", "type": "uint16" }, { + "default-value": 0, "name": "cyls", "type": "uint32" + }, + { + "name": "bootindex", + "type": "int32" } ], "id": "libvirt-15" @@ -4985,20 +5381,33 @@ { "return": [ { - "name": "serial", - "type": "str" - }, - { + "default-value": true, "name": "msos-desc", "description": "on/off", "type": "bool" }, { + "name": "port", + "type": "str" + }, + { + "name": "serial", + "type": "str" + }, + { + "default-value": true, + "name": "full-path", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, "name": "logical_block_size", "description": "A power of two between 512 and 32768", "type": "uint16" }, { + "default-value": 4294967295, "name": "discard_granularity", "type": "uint32" }, @@ -5008,58 +5417,57 @@ "type": "str" }, { - "name": "bootindex", - "type": "int32" + "default-value": 0, + "name": "opt_io_size", + "type": "uint32" }, { + "default-value": 0, + "name": "min_io_size", + "type": "uint16" + }, + { + "default-value": "auto", "name": "write-cache", "description": "on/off/auto", "type": "OnOffAuto" }, { + "default-value": false, "name": "share-rw", "type": "bool" }, { - "name": "min_io_size", - "type": "uint16" - }, - { - "name": "opt_io_size", - "type": "uint32" - }, - { - "name": "port", - "type": "str" - }, - { - "name": "attached", - "type": "bool" - }, - { + "default-value": "auto", "name": "werror", "description": "Error handling policy, report/ignore/enospc/stop/auto", "type": "BlockdevOnError" }, { - "name": "full-path", - "description": "on/off", - "type": "bool" - }, - { - "name": "rerror", - "description": "Error handling policy, report/ignore/enospc/stop/auto", - "type": "BlockdevOnError" - }, - { + "default-value": false, "name": "removable", "description": "on/off", "type": "bool" }, { + "default-value": "auto", + "name": "rerror", + "description": "Error handling policy, report/ignore/enospc/stop/auto", + "type": "BlockdevOnError" + }, + { + "default-value": 0, "name": "physical_block_size", "description": "A power of two between 512 and 32768", "type": "uint16" + }, + { + "name": "bootindex", + "type": "int32" + }, + { + "name": "attached", + "type": "bool" } ], "id": "libvirt-19" @@ -5092,80 +5500,93 @@ { "return": [ { + "default-value": 1, "name": "rombar", "type": "uint32" }, { + "default-value": true, "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { - "name": "big-endian-framebuffer", - "type": "bool" - }, - { - "name": "mmio", - "description": "on/off", - "type": "bool" - }, - { + "default-value": false, "name": "multifunction", "description": "on/off", "type": "bool" }, - { - "name": "qemu-extended-regs", - "description": "on/off", - "type": "bool" - }, - { - "name": "ymax", - "type": "uint32" - }, - { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, { "name": "romfile", "type": "str" }, { - "name": "xmax", - "type": "uint32" - }, - { - "name": "yres", - "type": "uint32" - }, - { - "name": "vgamem_mb", - "type": "uint32" - }, - { + "default-value": true, "name": "x-pcie-extcap-init", "description": "on/off", "type": "bool" }, { + "default-value": -1, "name": "addr", "description": "Slot and optional function number, example: 06.0 or 06", "type": "int32" }, { - "name": "global-vmstate", + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": true, + "name": "mmio", + "description": "on/off", "type": "bool" }, { + "default-value": true, + "name": "qemu-extended-regs", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "ymax", + "type": "uint32" + }, + { + "default-value": 16, + "name": "vgamem_mb", + "type": "uint32" + }, + { + "default-value": 0, + "name": "yres", + "type": "uint32" + }, + { + "default-value": 0, + "name": "xmax", + "type": "uint32" + }, + { + "default-value": true, "name": "edid", "description": "on/off", "type": "bool" }, { + "default-value": 0, "name": "xres", "type": "uint32" + }, + { + "default-value": false, + "name": "global-vmstate", + "type": "bool" + }, + { + "name": "big-endian-framebuffer", + "type": "bool" } ], "id": "libvirt-21" @@ -5182,15 +5603,18 @@ { "return": [ { + "default-value": 1, "name": "rombar", "type": "uint32" }, { + "default-value": true, "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { + "default-value": false, "name": "multifunction", "description": "on/off", "type": "bool" @@ -5200,25 +5624,28 @@ "type": "str" }, { - "name": "vgamem_mb", - "type": "uint32" - }, - { + "default-value": true, "name": "x-pcie-extcap-init", "description": "on/off", "type": "bool" }, { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, - { + "default-value": -1, "name": "addr", "description": "Slot and optional function number, example: 06.0 or 06", "type": "int32" }, { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": 16, + "name": "vgamem_mb", + "type": "uint32" + }, + { + "default-value": false, "name": "global-vmstate", "type": "bool" } @@ -5237,152 +5664,19 @@ { "return": [ { - "name": "event_idx", - "description": "on/off", - "type": "bool" - }, - { - "name": "yres", - "type": "uint32" - }, - { - "name": "ioeventfd", - "description": "on/off", - "type": "bool" - }, - { - "name": "multifunction", - "description": "on/off", - "type": "bool" - }, - { + "default-value": 1, "name": "rombar", "type": "uint32" }, { - "name": "xres", - "type": "uint32" - }, - { - "name": "x-disable-pcie", - "description": "on/off", - "type": "bool" - }, - { - "name": "indirect_desc", - "description": "on/off", - "type": "bool" - }, - { - "name": "disable-modern", - "type": "bool" - }, - { - "name": "x-pcie-lnkctl-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "disable-legacy", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, - { - "name": "page-per-vq", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-deverr-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "max_outputs", - "type": "uint32" - }, - { - "name": "x-pcie-pm-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-flr-init", - "description": "on/off", - "type": "bool" - }, - { + "default-value": true, "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { - "name": "use-started", - "type": "bool" - }, - { - "name": "any_layout", - "description": "on/off", - "type": "bool" - }, - { - "name": "addr", - "description": "Slot and optional function number, example: 06.0 or 06", - "type": "int32" - }, - { - "name": "edid", - "description": "on/off", - "type": "bool" - }, - { - "name": "migrate-extra", - "description": "on/off", - "type": "bool" - }, - { - "name": "modern-pio-notify", - "description": "on/off", - "type": "bool" - }, - { - "name": "vectors", - "type": "uint32" - }, - { - "name": "x-pcie-extcap-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "max_hostmem", - "type": "size" - }, - { - "name": "virtio-backend", - "type": "child" - }, - { - "name": "x-ignore-backend-features", - "type": "bool" - }, - { - "name": "notify_on_empty", - "description": "on/off", - "type": "bool" - }, - { - "name": "iommu_platform", - "description": "on/off", - "type": "bool" - }, - { - "name": "ats", + "default-value": false, + "name": "multifunction", "description": "on/off", "type": "bool" }, @@ -5391,9 +5685,183 @@ "type": "str" }, { + "default-value": true, + "name": "x-pcie-extcap-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": -1, + "name": "addr", + "description": "Slot and optional function number, example: 06.0 or 06", + "type": "int32" + }, + { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": false, "name": "virtio-pci-bus-master-bug-migration", "description": "on/off", "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-lnkctl-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-flr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-ignore-backend-features", + "type": "bool" + }, + { + "default-value": false, + "name": "page-per-vq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "migrate-extra", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-pm-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "modern-pio-notify", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-deverr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "ats", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-disable-pcie", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 3, + "name": "vectors", + "type": "uint32" + }, + { + "default-value": false, + "name": "ioeventfd", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "disable-modern", + "type": "bool" + }, + { + "default-value": "auto", + "name": "disable-legacy", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": true, + "name": "notify_on_empty", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "any_layout", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "indirect_desc", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "use-started", + "type": "bool" + }, + { + "default-value": true, + "name": "event_idx", + "description": "on/off", + "type": "bool" + }, + { + "name": "virtio-backend", + "type": "child" + }, + { + "default-value": 768, + "name": "yres", + "type": "uint32" + }, + { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "default-value": false, + "name": "iommu_platform", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "edid", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 1, + "name": "max_outputs", + "type": "uint32" + }, + { + "default-value": 268435456, + "name": "max_hostmem", + "type": "size" + }, + { + "default-value": 1024, + "name": "xres", + "type": "uint32" + }, + { + "default-value": false, + "name": "packed", + "description": "on/off", + "type": "bool" } ], "id": "libvirt-23" @@ -5410,54 +5878,76 @@ { "return": [ { + "default-value": true, "name": "notify_on_empty", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "any_layout", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "indirect_desc", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "use-started", "type": "bool" }, { + "default-value": true, "name": "event_idx", "description": "on/off", "type": "bool" }, { - "name": "yres", - "type": "uint32" - }, - { + "default-value": false, "name": "iommu_platform", "description": "on/off", "type": "bool" }, { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "default-value": false, + "name": "packed", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, "name": "edid", "description": "on/off", "type": "bool" }, { - "name": "max_outputs", - "type": "uint32" - }, - { + "default-value": 268435456, "name": "max_hostmem", "type": "size" }, { + "default-value": 1024, "name": "xres", "type": "uint32" + }, + { + "default-value": 768, + "name": "yres", + "type": "uint32" + }, + { + "default-value": 1, + "name": "max_outputs", + "type": "uint32" } ], "id": "libvirt-24" @@ -5490,97 +5980,157 @@ { "return": [ { - "name": "guest-stats-polling-interval", - "type": "int" + "default-value": 1, + "name": "rombar", + "type": "uint32" }, { - "name": "event_idx", + "default-value": true, + "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { + "default-value": false, "name": "multifunction", "description": "on/off", "type": "bool" }, { - "name": "rombar", - "type": "uint32" + "name": "romfile", + "type": "str" }, { - "name": "x-disable-pcie", + "default-value": true, + "name": "x-pcie-extcap-init", "description": "on/off", "type": "bool" }, { - "name": "indirect_desc", + "default-value": -1, + "name": "addr", + "description": "Slot and optional function number, example: 06.0 or 06", + "type": "int32" + }, + { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": false, + "name": "virtio-pci-bus-master-bug-migration", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "x-pcie-lnkctl-init", "description": "on/off", "type": "bool" }, { - "name": "disable-modern", - "type": "bool" - }, - { - "name": "free-page-hint", + "default-value": true, + "name": "x-pcie-flr-init", "description": "on/off", "type": "bool" }, { + "default-value": false, + "name": "x-ignore-backend-features", + "type": "bool" + }, + { + "default-value": false, + "name": "page-per-vq", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "migrate-extra", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-pm-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "modern-pio-notify", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "x-pcie-deverr-init", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "ats", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "x-disable-pcie", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 0, + "name": "class", + "type": "uint32" + }, + { + "default-value": false, + "name": "disable-modern", + "type": "bool" + }, + { + "default-value": "auto", "name": "disable-legacy", "description": "on/off/auto", "type": "OnOffAuto" }, { - "name": "command_serr_enable", + "default-value": true, + "name": "notify_on_empty", "description": "on/off", "type": "bool" }, + { + "default-value": true, + "name": "any_layout", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "indirect_desc", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "use-started", + "type": "bool" + }, { "name": "guest-stats", "type": "guest statistics" }, { - "name": "deflate-on-oom", - "description": "on/off", - "type": "bool" + "name": "guest-stats-polling-interval", + "type": "int" }, { - "name": "page-per-vq", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-deverr-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-pm-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-flr-init", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-lnksta-dllla", - "description": "on/off", - "type": "bool" - }, - { - "name": "use-started", - "type": "bool" - }, - { - "name": "any_layout", + "default-value": true, + "name": "event_idx", "description": "on/off", "type": "bool" }, @@ -5589,26 +6139,8 @@ "type": "link" }, { - "name": "class", - "type": "uint32" - }, - { - "name": "addr", - "description": "Slot and optional function number, example: 06.0 or 06", - "type": "int32" - }, - { - "name": "migrate-extra", - "description": "on/off", - "type": "bool" - }, - { - "name": "modern-pio-notify", - "description": "on/off", - "type": "bool" - }, - { - "name": "x-pcie-extcap-init", + "default-value": false, + "name": "free-page-hint", "description": "on/off", "type": "bool" }, @@ -5617,36 +6149,32 @@ "type": "child" }, { - "name": "qemu-4-0-config-size", - "type": "bool" - }, - { - "name": "x-ignore-backend-features", - "type": "bool" - }, - { - "name": "notify_on_empty", - "description": "on/off", - "type": "bool" - }, - { + "default-value": false, "name": "iommu_platform", "description": "on/off", "type": "bool" }, { - "name": "ats", + "default-value": false, + "name": "qemu-4-0-config-size", + "type": "bool" + }, + { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "default-value": false, + "name": "packed", "description": "on/off", "type": "bool" }, { - "name": "virtio-pci-bus-master-bug-migration", + "default-value": false, + "name": "deflate-on-oom", "description": "on/off", "type": "bool" - }, - { - "name": "romfile", - "type": "str" } ], "id": "libvirt-26" @@ -5679,24 +6207,72 @@ { "return": [ { + "default-value": true, "name": "notify_on_empty", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "any_layout", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "indirect_desc", "description": "on/off", "type": "bool" }, { + "default-value": true, "name": "use-started", "type": "bool" }, + { + "default-value": true, + "name": "event_idx", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "iommu_platform", + "description": "on/off", + "type": "bool" + }, + { + "default-value": true, + "name": "use-disabled-flag", + "type": "bool" + }, + { + "default-value": false, + "name": "packed", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "free-page-hint", + "description": "on/off", + "type": "bool" + }, + { + "default-value": false, + "name": "deflate-on-oom", + "description": "on/off", + "type": "bool" + }, + { + "name": "iothread", + "type": "link" + }, + { + "default-value": false, + "name": "qemu-4-0-config-size", + "type": "bool" + }, { "name": "guest-stats", "type": "guest statistics" @@ -5704,34 +6280,6 @@ { "name": "guest-stats-polling-interval", "type": "int" - }, - { - "name": "event_idx", - "description": "on/off", - "type": "bool" - }, - { - "name": "iothread", - "type": "link" - }, - { - "name": "free-page-hint", - "description": "on/off", - "type": "bool" - }, - { - "name": "iommu_platform", - "description": "on/off", - "type": "bool" - }, - { - "name": "qemu-4-0-config-size", - "type": "bool" - }, - { - "name": "deflate-on-oom", - "description": "on/off", - "type": "bool" } ], "id": "libvirt-28" @@ -5748,78 +6296,91 @@ { "return": [ { + "default-value": 1, "name": "rombar", "type": "uint32" }, { - "name": "intrs", - "type": "uint32" - }, - { + "default-value": true, "name": "x-pcie-lnksta-dllla", "description": "on/off", "type": "bool" }, { - "name": "msix", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { + "default-value": false, "name": "multifunction", "description": "on/off", "type": "bool" }, - { - "name": "msi", - "description": "on/off/auto", - "type": "OnOffAuto" - }, - { - "name": "superspeed-ports-first", - "description": "on/off", - "type": "bool" - }, - { - "name": "streams", - "description": "on/off", - "type": "bool" - }, { "name": "romfile", "type": "str" }, { - "name": "force-pcie-endcap", - "description": "on/off", - "type": "bool" - }, - { + "default-value": true, "name": "x-pcie-extcap-init", "description": "on/off", "type": "bool" }, { - "name": "command_serr_enable", - "description": "on/off", - "type": "bool" - }, - { + "default-value": -1, "name": "addr", "description": "Slot and optional function number, example: 06.0 or 06", "type": "int32" }, { + "name": "failover_pair_id", + "type": "str" + }, + { + "default-value": 4, "name": "p3", "type": "uint32" }, { + "default-value": true, + "name": "streams", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 4, "name": "p2", "type": "uint32" }, { + "default-value": "auto", + "name": "msi", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": 16, + "name": "intrs", + "type": "uint32" + }, + { + "default-value": false, + "name": "force-pcie-endcap", + "description": "on/off", + "type": "bool" + }, + { + "default-value": 64, "name": "slots", "type": "uint32" + }, + { + "default-value": "auto", + "name": "msix", + "description": "on/off/auto", + "type": "OnOffAuto" + }, + { + "default-value": true, + "name": "superspeed-ports-first", + "description": "on/off", + "type": "bool" } ], "id": "libvirt-29" @@ -5836,62 +6397,77 @@ { "return": [ { - "name": "atsd", - "type": "uint64" - }, - { + "default-value": true, "name": "dynamic-reconfiguration", "type": "bool" }, { + "default-value": 0, + "name": "atsd", + "type": "uint64" + }, + { + "default-value": true, "name": "ddw", "type": "bool" }, { + "default-value": 1073741824, "name": "dma_win_size", "type": "uint64" }, { + "default-value": 4294967295, "name": "numa_node", "type": "uint32" }, { + "default-value": 1099511627776, "name": "mem64_win_size", "type": "uint64" }, { + "default-value": 18944000, "name": "pgsz", "type": "uint64" }, { + "default-value": false, "name": "pre-2.8-migration", "type": "bool" }, { - "name": "dma_win_addr", - "type": "uint64" - }, - { + "default-value": 65536, "name": "io_win_size", "type": "uint64" }, { + "default-value": 2147483648, "name": "mem_win_size", "type": "uint64" }, { + "default-value": 0, + "name": "dma_win_addr", + "type": "uint64" + }, + { + "default-value": 576460752303423488, "name": "dma64_win_addr", "type": "uint64" }, { + "default-value": 0, "name": "gpa", "type": "uint64" }, { + "default-value": true, "name": "pcie-extended-configuration-space", "type": "bool" }, { + "default-value": 4294967295, "name": "index", "type": "uint32" } @@ -5899,12 +6475,62 @@ "id": "libvirt-30" } +{ + "execute": "device-list-properties", + "arguments": { + "typename": "nvdimm" + }, + "id": "libvirt-31" +} + +{ + "return": [ + { + "default-value": 0, + "name": "addr", + "type": "uint64" + }, + { + "name": "memdev", + "type": "link" + }, + { + "default-value": 0, + "name": "node", + "type": "uint32" + }, + { + "default-value": -1, + "name": "slot", + "type": "int32" + }, + { + "default-value": false, + "name": "unarmed", + "type": "bool" + }, + { + "name": "label-size", + "type": "int" + }, + { + "name": "uuid", + "type": "QemuUUID" + }, + { + "name": "size", + "type": "uint64" + } + ], + "id": "libvirt-31" +} + { "execute": "qom-list-properties", "arguments": { "typename": "memory-backend-file" }, - "id": "libvirt-31" + "id": "libvirt-32" } { @@ -5918,6 +6544,11 @@ "description": "Set the NUMA policy", "type": "HostMemPolicy" }, + { + "name": "prealloc-threads", + "description": "Number of CPU threads to use for prealloc", + "type": "int" + }, { "name": "dump", "description": "Set to 'off' to exclude from core dump", @@ -5969,7 +6600,7 @@ "type": "bool" } ], - "id": "libvirt-31" + "id": "libvirt-32" } { @@ -5977,7 +6608,7 @@ "arguments": { "typename": "memory-backend-memfd" }, - "id": "libvirt-32" + "id": "libvirt-33" } { @@ -5991,6 +6622,11 @@ "description": "Set the NUMA policy", "type": "HostMemPolicy" }, + { + "name": "prealloc-threads", + "description": "Number of CPU threads to use for prealloc", + "type": "int" + }, { "name": "dump", "description": "Set to 'off' to exclude from core dump", @@ -6041,85 +6677,24 @@ "type": "bool" } ], - "id": "libvirt-32" + "id": "libvirt-33" } { "execute": "query-machines", - "id": "libvirt-33" + "id": "libvirt-34" } { "return": [ { "hotpluggable-cpus": false, - "name": "ref405ep", + "name": "powernv9", "numa-mem-supported": false, - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "sam460ex", - "numa-mem-supported": false, - "default-cpu-type": "460exb-powerpc64-cpu", - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "none", - "numa-mem-supported": false, - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "virtex-ml507", - "numa-mem-supported": false, - "default-cpu-type": "440-xilinx-powerpc64-cpu", - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "ppce500", - "numa-mem-supported": false, - "default-cpu-type": "e500v2_v30-powerpc64-cpu", - "cpu-max": 32, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "mpc8544ds", - "numa-mem-supported": false, - "default-cpu-type": "e500v2_v30-powerpc64-cpu", - "cpu-max": 15, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-3.1", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-2.12-sxxm", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "bamboo", - "numa-mem-supported": false, - "default-cpu-type": "440epb-powerpc64-cpu", - "cpu-max": 1, - "deprecated": false + "default-cpu-type": "power9_v2.0-powerpc64-cpu", + "cpu-max": 2048, + "deprecated": false, + "alias": "powernv" }, { "hotpluggable-cpus": false, @@ -6129,38 +6704,6 @@ "cpu-max": 1, "deprecated": false }, - { - "hotpluggable-cpus": true, - "name": "pseries-3.0", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-2.10", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "prep", - "numa-mem-supported": false, - "default-cpu-type": "602-powerpc64-cpu", - "cpu-max": 1, - "deprecated": true - }, - { - "hotpluggable-cpus": true, - "name": "pseries-2.11", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, { "hotpluggable-cpus": true, "name": "pseries-2.12", @@ -6179,12 +6722,51 @@ }, { "hotpluggable-cpus": false, - "name": "mac99", + "name": "ref405ep", "numa-mem-supported": false, - "default-cpu-type": "970fx_v3.1-powerpc64-cpu", "cpu-max": 1, "deprecated": false }, + { + "hotpluggable-cpus": false, + "name": "pseries-2.2", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "40p", + "numa-mem-supported": false, + "default-cpu-type": "604-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-4.2", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-2.12-sxxm", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "pseries-2.3", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, { "hotpluggable-cpus": false, "name": "pseries-2.6", @@ -6193,6 +6775,30 @@ "cpu-max": 1024, "deprecated": false }, + { + "hotpluggable-cpus": false, + "name": "sam460ex", + "numa-mem-supported": false, + "default-cpu-type": "460exb-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "virtex-ml507", + "numa-mem-supported": false, + "default-cpu-type": "440-xilinx-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-3.0", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, { "hotpluggable-cpus": true, "name": "pseries-2.7", @@ -6202,11 +6808,10 @@ "deprecated": false }, { - "hotpluggable-cpus": true, - "name": "pseries-2.8", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, + "hotpluggable-cpus": false, + "name": "none", + "numa-mem-supported": false, + "cpu-max": 1, "deprecated": false }, { @@ -6221,7 +6826,46 @@ }, { "hotpluggable-cpus": true, - "name": "pseries-4.2", + "name": "pseries-2.8", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-4.0", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "bamboo", + "numa-mem-supported": false, + "default-cpu-type": "440epb-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "pseries-2.1", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "taihu", + "numa-mem-supported": false, + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-2.10", "numa-mem-supported": true, "default-cpu-type": "host-powerpc64-cpu", "cpu-max": 1024, @@ -6237,18 +6881,10 @@ }, { "hotpluggable-cpus": false, - "name": "pseries-2.5", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-4.0", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, + "name": "mpc8544ds", + "numa-mem-supported": false, + "default-cpu-type": "e500v2_v30-powerpc64-cpu", + "cpu-max": 15, "deprecated": false }, { @@ -6259,37 +6895,6 @@ "cpu-max": 1024, "deprecated": false }, - { - "hotpluggable-cpus": false, - "name": "pseries-2.2", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "taihu", - "numa-mem-supported": false, - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "pseries-2.3", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "pseries-2.1", - "numa-mem-supported": true, - "default-cpu-type": "host-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, { "hotpluggable-cpus": false, "name": "powernv8", @@ -6300,23 +6905,54 @@ }, { "hotpluggable-cpus": false, - "name": "powernv9", + "name": "powernv10", "numa-mem-supported": false, - "default-cpu-type": "power9_v2.0-powerpc64-cpu", + "default-cpu-type": "power10_v1.0-powerpc64-cpu", "cpu-max": 2048, - "deprecated": false, - "alias": "powernv" + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-2.11", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false }, { "hotpluggable-cpus": false, - "name": "40p", + "name": "pseries-2.5", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-3.1", + "numa-mem-supported": true, + "default-cpu-type": "host-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "mac99", "numa-mem-supported": false, - "default-cpu-type": "604-powerpc64-cpu", + "default-cpu-type": "970fx_v3.1-powerpc64-cpu", "cpu-max": 1, "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "ppce500", + "numa-mem-supported": false, + "default-cpu-type": "e500v2_v30-powerpc64-cpu", + "cpu-max": 32, + "deprecated": false } ], - "id": "libvirt-33" + "id": "libvirt-34" } { @@ -6324,7 +6960,7 @@ "arguments": { "typename": "pseries-5.0-machine" }, - "id": "libvirt-34" + "id": "libvirt-35" } { @@ -6348,16 +6984,6 @@ "description": "Include guest memory in a core dump", "type": "bool" }, - { - "name": "kernel-irqchip", - "description": "Configure KVM in-kernel irqchip", - "type": "on|off|split" - }, - { - "name": "accel", - "description": "Accelerator list", - "type": "string" - }, { "name": "append", "description": "Linux kernel command line", @@ -6373,11 +6999,6 @@ "description": "Set memory encryption object to use", "type": "string" }, - { - "name": "igd-passthru", - "description": "Set on/off to enable/disable igd passthrou", - "type": "bool" - }, { "name": "dt-compatible", "description": "Overrides the \"compatible\" property of the dt root node", @@ -6423,11 +7044,6 @@ "description": "Set on to enforce configuration section migration", "type": "bool" }, - { - "name": "kvm-shadow-mem", - "description": "KVM shadow MMU size", - "type": "int" - }, { "name": "cap-hpt-max-page-size", "description": "Maximum page size for Hash Page Table guests", @@ -6473,6 +7089,11 @@ "description": "Allow Hardware Transactional Memory (HTM)", "type": "bool" }, + { + "name": "cap-fwnmi-mce", + "description": "Handle fwnmi machine check exceptions", + "type": "bool" + }, { "name": "cap-nested-hv", "description": "Allow Nested KVM-HV", @@ -6482,6 +7103,11 @@ "name": "vfio-no-msix-emulation", "type": "bool" }, + { + "name": "nvdimm-persistence", + "description": "Set NVDIMM persistenceValid values are cpu, mem-ctrl", + "type": "string" + }, { "name": "ic-mode", "description": "Specifies the interrupt controller mode (xics, xive, dual)", @@ -6499,19 +7125,39 @@ }, { "name": "max-cpu-compat", - "description": "Maximum permitted CPU compatibility mode. Valid values are power6, power7, power7+, power8, power9.", + "description": "Maximum permitted CPU compatibility mode. Valid values are power6, power7, power7+, power8, power9, power10.", "type": "string" }, + { + "name": "nvdimm", + "description": "Set on/off to enable/disable NVDIMM instantiation", + "type": "bool" + }, + { + "name": "kernel-addr", + "description": "0x400000 for -kernel is the default", + "type": "uint64" + }, { "name": "modern-hotplug-events", "description": "Use dedicated hotplug event mechanism in place of standard EPOW events when possible (required for memory hot-unplug support)", "type": "bool" }, + { + "name": "memory-backend", + "description": "Set RAM backendValid value is ID of hostmem based backend", + "type": "string" + }, { "name": "vsmt", "description": "Virtual SMT: KVM behaves as if this were the host's SMT mode", "type": "uint32" }, + { + "name": "hmat", + "description": "Set on/off to enable/disable ACPI Heterogeneous Memory Attribute Table (HMAT)", + "type": "bool" + }, { "name": "host-serial", "description": "Host serial number to advertise in guest device tree", @@ -6523,12 +7169,12 @@ "type": "string" } ], - "id": "libvirt-34" + "id": "libvirt-35" } { "execute": "query-cpu-definitions", - "id": "libvirt-35" + "id": "libvirt-36" } { @@ -6553,6 +7199,11 @@ "typename": "970fx_v3.1-powerpc64-cpu", "static": false }, + { + "name": "power10", + "typename": "power10_v1.0-powerpc64-cpu", + "static": false + }, { "name": "power9", "typename": "power9_v2.0-powerpc64-cpu", @@ -7179,637 +7830,7 @@ "static": false }, { - "name": "mpc8547e_v21", - "typename": "", - "static": false - }, - { - "name": "g2gp", - "typename": "", - "static": false - }, - { - "name": "7457a_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cl_v1.0", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.1", - "typename": "", - "static": false - }, - { - "name": "755_v2.7", - "typename": "", - "static": false - }, - { - "name": "750_v2.1", - "typename": "", - "static": false - }, - { - "name": "755_v2.2", - "typename": "", - "static": false - }, - { - "name": "745_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8377", - "typename": "", - "static": false - }, - { - "name": "7455_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8545_v21", - "typename": "", - "static": false - }, - { - "name": "mpc8548_v11", - "typename": "", - "static": false - }, - { - "name": "405d4", - "typename": "", - "static": false - }, - { - "name": "g2le", - "typename": "", - "static": false - }, - { - "name": "750e", - "typename": "", - "static": false - }, - { - "name": "mpc8572", - "typename": "", - "static": false - }, - { - "name": "g2hip3", - "typename": "", - "static": false - }, - { - "name": "970fx_v2.0", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.0", - "typename": "", - "static": false - }, - { - "name": "603p", - "typename": "", - "static": false - }, - { - "name": "405gpb", - "typename": "", - "static": false - }, - { - "name": "7400_v2.7", - "typename": "", - "static": false - }, - { - "name": "mpc8544e_v10", - "typename": "", - "static": false - }, - { - "name": "e500v2_v10", - "typename": "", - "static": false - }, - { - "name": "e500mc", - "typename": "", - "static": false - }, - { - "name": "603e7v1", - "typename": "", - "static": false - }, - { - "name": "970mp_v1.0", - "typename": "", - "static": false - }, - { - "name": "740e", - "typename": "", - "static": false - }, - { - "name": "405crc", - "typename": "", - "static": false - }, - { - "name": "403ga", - "typename": "", - "static": false - }, - { - "name": "7447a_v1.0", - "typename": "", - "static": false - }, - { - "name": "e300c1", - "typename": "", - "static": false - }, - { - "name": "mpc8572e", - "typename": "", - "static": false - }, - { - "name": "e500v2_v21", - "typename": "", - "static": false - }, - { - "name": "7400_v2.2", - "typename": "", - "static": false - }, - { - "name": "970fx_v1.0", - "typename": "", - "static": false - }, - { - "name": "750gx_v1.2", - "typename": "", - "static": false - }, - { - "name": "750fx_v1.0", - "typename": "", - "static": false - }, - { - "name": "7457_v1.1", - "typename": "", - "static": false - }, - { - "name": "970fx_v3.0", - "typename": "", - "static": false - }, - { - "name": "604e_v2.2", - "typename": "", - "static": false - }, - { - "name": "745_v2.4", - "typename": "", - "static": false - }, - { - "name": "401a1", - "typename": "", - "static": false - }, - { - "name": "755_v2.6", - "typename": "", - "static": false - }, - { - "name": "power9_v1.0", - "typename": "", - "static": false - }, - { - "name": "npe405h", - "typename": "", - "static": false - }, - { - "name": "g2ls", - "typename": "", - "static": false - }, - { - "name": "7410_v1.4", - "typename": "", - "static": false - }, - { - "name": "750_v2.0", - "typename": "", - "static": false - }, - { - "name": "401b2", - "typename": "", - "static": false - }, - { - "name": "mpc8349", - "typename": "", - "static": false - }, - { - "name": "mpc8347ep", - "typename": "", - "static": false - }, - { - "name": "mpc8540_v21", - "typename": "", - "static": false - }, - { - "name": "mpc8543_v11", - "typename": "", - "static": false - }, - { - "name": "403gcx", - "typename": "", - "static": false - }, - { - "name": "7445_v3.2", - "typename": "", - "static": false - }, - { - "name": "mpc8548_v21", - "typename": "", - "static": false - }, - { - "name": "750l_v3.2", - "typename": "", - "static": false - }, - { - "name": "750_v1.0", - "typename": "", - "static": false - }, - { - "name": "755_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cxe_v3.0", - "typename": "", - "static": false - }, - { - "name": "e500_v10", - "typename": "", - "static": false - }, - { - "name": "mpc8541e_v10", - "typename": "", - "static": false - }, - { - "name": "power9_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8347p", - "typename": "", - "static": false - }, - { - "name": "mpc8555e_v10", - "typename": "", - "static": false - }, - { - "name": "power7_v2.3", - "typename": "", - "static": false - }, - { - "name": "603e7v", - "typename": "", - "static": false - }, - { - "name": "mpc8543e_v11", - "typename": "", - "static": false - }, - { - "name": "750_v3.0", - "typename": "", - "static": false - }, - { - "name": "7400_v2.6", - "typename": "", - "static": false - }, - { - "name": "405gpa", - "typename": "", - "static": false - }, - { - "name": "440epx", - "typename": "", - "static": false - }, - { - "name": "750l_v2.2", - "typename": "", - "static": false - }, - { - "name": "e200z6", - "typename": "", - "static": false - }, - { - "name": "mpc8547e_v20", - "typename": "", - "static": false - }, - { - "name": "7457a_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8349ea", - "typename": "", - "static": false - }, - { - "name": "e500v2_v20", - "typename": "", - "static": false - }, - { - "name": "power8nvl_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8540_v10", - "typename": "", - "static": false - }, - { - "name": "755_v2.1", - "typename": "", - "static": false - }, - { - "name": "745_v2.8", - "typename": "", - "static": false - }, - { - "name": "npe405l", - "typename": "", - "static": false - }, - { - "name": "mpc8343ea", - "typename": "", - "static": false - }, - { - "name": "mpc8545_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8548_v10", - "typename": "", - "static": false - }, - { - "name": "7448_v1.1", - "typename": "", - "static": false - }, - { - "name": "740_v2.2", - "typename": "", - "static": false - }, - { - "name": "7455_v3.4", - "typename": "", - "static": false - }, - { - "name": "7400_v1.1", - "typename": "", - "static": false - }, - { - "name": "mpc8347et", - "typename": "", - "static": false - }, - { - "name": "7441_v2.10", - "typename": "", - "static": false - }, - { - "name": "603e_v1.4", - "typename": "", - "static": false - }, - { - "name": "mpc8543_v10", - "typename": "", - "static": false - }, - { - "name": "401c2", - "typename": "", - "static": false - }, - { - "name": "7451_v2.10", - "typename": "", - "static": false - }, - { - "name": "750cx_v2.2", - "typename": "", - "static": false - }, - { - "name": "mpc8543_v21", - "typename": "", - "static": false - }, - { - "name": "603e7", - "typename": "", - "static": false - }, - { - "name": "7448_v2.1", - "typename": "", - "static": false - }, - { - "name": "7441_v2.3", - "typename": "", - "static": false - }, - { - "name": "405crb", - "typename": "", - "static": false - }, - { - "name": "604", - "typename": "", - "static": false - }, - { - "name": "7400_v2.1", - "typename": "", - "static": false - }, - { - "name": "440-xilinx-w-dfpu", - "typename": "", - "static": false - }, - { - "name": "7445_v2.1", - "typename": "", - "static": false - }, - { - "name": "cobra", - "typename": "", - "static": false - }, - { - "name": "e500_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8541_v11", - "typename": "", - "static": false - }, - { - "name": "750gx_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.4", - "typename": "", - "static": false - }, - { - "name": "mpc8641d", - "typename": "", - "static": false - }, - { - "name": "mpc8543e_v21", - "typename": "", - "static": false - }, - { - "name": "750l_v2.1", - "typename": "", - "static": false - }, - { - "name": "7457_v1.0", - "typename": "", - "static": false - }, - { - "name": "7450_v1.2", - "typename": "", - "static": false - }, - { - "name": "755_v2.5", - "typename": "", - "static": false - }, - { - "name": "7410_v1.3", - "typename": "", - "static": false - }, - { - "name": "745_v2.3", - "typename": "", - "static": false - }, - { - "name": "755_v2.0", - "typename": "", - "static": false - }, - { - "name": "e500v2_v30", - "typename": "", - "static": false - }, - { - "name": "7455_v3.3", - "typename": "", - "static": false - }, - { - "name": "mpc8540_v20", - "typename": "", - "static": false - }, - { - "name": "405d2", - "typename": "", - "static": false - }, - { - "name": "mpc8560_v21", + "name": "740_v2.0", "typename": "", "static": false }, @@ -7819,27 +7840,12 @@ "static": false }, { - "name": "mpc8548_v20", + "name": "mpc8545e_v21", "typename": "", "static": false }, { - "name": "mpc8347t", - "typename": "", - "static": false - }, - { - "name": "755_v1.0", - "typename": "", - "static": false - }, - { - "name": "603e_v1.3", - "typename": "", - "static": false - }, - { - "name": "host", + "name": "power8nvl_v1.0", "typename": "", "static": false }, @@ -7849,247 +7855,17 @@ "static": false }, { - "name": "mpc8347eap", + "name": "mpc8555_v10", "typename": "", "static": false }, { - "name": "mpc8548e_v11", + "name": "750_v2.0", "typename": "", "static": false }, { - "name": "mpc8543_v20", - "typename": "", - "static": false - }, - { - "name": "401d2", - "typename": "", - "static": false - }, - { - "name": "power8_v2.0", - "typename": "", - "static": false - }, - { - "name": "740_v3.1", - "typename": "", - "static": false - }, - { - "name": "e200z5", - "typename": "", - "static": false - }, - { - "name": "405cra", - "typename": "", - "static": false - }, - { - "name": "7400_v2.0", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.3", - "typename": "", - "static": false - }, - { - "name": "745_v2.7", - "typename": "", - "static": false - }, - { - "name": "mpc8560_v10", - "typename": "", - "static": false - }, - { - "name": "e5500", - "typename": "", - "static": false - }, - { - "name": "mpc8544_v11", - "typename": "", - "static": false - }, - { - "name": "7448_v1.0", - "typename": "", - "static": false - }, - { - "name": "401e2", - "typename": "", - "static": false - }, - { - "name": "740_v2.1", - "typename": "", - "static": false - }, - { - "name": "7410_v1.2", - "typename": "", - "static": false - }, - { - "name": "745_v2.2", - "typename": "", - "static": false - }, - { - "name": "7400_v1.0", - "typename": "", - "static": false - }, - { - "name": "x2vp4", - "typename": "", - "static": false - }, - { - "name": "mpc8347ap", - "typename": "", - "static": false - }, - { - "name": "mpc8533_v11", - "typename": "", - "static": false - }, - { - "name": "mpc5200b_v21", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.3", - "typename": "", - "static": false - }, - { - "name": "601_v2", - "typename": "", - "static": false - }, - { - "name": "g2legp3", - "typename": "", - "static": false - }, - { - "name": "750cx_v2.1", - "typename": "", - "static": false - }, - { - "name": "604e_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8610", - "typename": "", - "static": false - }, - { - "name": "g2h4", - "typename": "", - "static": false - }, - { - "name": "750l_v3.0", - "typename": "", - "static": false - }, - { - "name": "mpc8377e", - "typename": "", - "static": false - }, - { - "name": "e300c4", - "typename": "", - "static": false - }, - { - "name": "mpc5200_v12", - "typename": "", - "static": false - }, - { - "name": "7448_v2.0", - "typename": "", - "static": false - }, - { - "name": "7450_v2.1", - "typename": "", - "static": false - }, - { - "name": "750cxe_v3.1b", - "typename": "", - "static": false - }, - { - "name": "g2", - "typename": "", - "static": false - }, - { - "name": "7400_v2.9", - "typename": "", - "static": false - }, - { - "name": "405gpd", - "typename": "", - "static": false - }, - { - "name": "603", - "typename": "", - "static": false - }, - { - "name": "603e7t", - "typename": "", - "static": false - }, - { - "name": "mpc8555_v11", - "typename": "", - "static": false - }, - { - "name": "750gx_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8541_v10", - "typename": "", - "static": false - }, - { - "name": "mpc8543e_v20", - "typename": "", - "static": false - }, - { - "name": "750fl", - "typename": "", - "static": false - }, - { - "name": "750l_v2.0", + "name": "405gpb", "typename": "", "static": false }, @@ -8098,548 +7874,23 @@ "typename": "", "static": false }, - { - "name": "mpc8548e_v21", - "typename": "", - "static": false - }, - { - "name": "x2vp20", - "typename": "", - "static": false - }, - { - "name": "e600", - "typename": "", - "static": false - }, - { - "name": "405ez", - "typename": "", - "static": false - }, - { - "name": "7450_v1.1", - "typename": "", - "static": false - }, - { - "name": "755_v2.4", - "typename": "", - "static": false - }, - { - "name": "mpc8379", - "typename": "", - "static": false - }, - { - "name": "7445_v1.0", - "typename": "", - "static": false - }, - { - "name": "7447_v1.1", - "typename": "", - "static": false - }, - { - "name": "603e_v2.2", - "typename": "", - "static": false - }, - { - "name": "603e_v4", - "typename": "", - "static": false - }, - { - "name": "g2legp", - "typename": "", - "static": false - }, - { - "name": "7455_v3.2", - "typename": "", - "static": false - }, - { - "name": "740_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8560_v20", - "typename": "", - "static": false - }, - { - "name": "440-xilinx", - "typename": "", - "static": false - }, - { - "name": "401f2", - "typename": "", - "static": false - }, - { - "name": "mpc8533_v10", - "typename": "", - "static": false - }, - { - "name": "mpc8349a", - "typename": "", - "static": false - }, - { - "name": "405gpr", - "typename": "", - "static": false - }, - { - "name": "stb25", - "typename": "", - "static": false - }, - { - "name": "603e_v1.2", - "typename": "", - "static": false - }, - { - "name": "mpc5200b_v20", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.2", - "typename": "", - "static": false - }, - { - "name": "mpc8347eat", - "typename": "", - "static": false - }, - { - "name": "740_v1.0", - "typename": "", - "static": false - }, - { - "name": "745_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cx_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8548e_v10", - "typename": "", - "static": false - }, - { - "name": "601_v1", - "typename": "", - "static": false - }, - { - "name": "403gc", - "typename": "", - "static": false - }, - { - "name": "e300c3", - "typename": "", - "static": false - }, - { - "name": "7447a_v1.2", - "typename": "", - "static": false - }, - { - "name": "mpc5200_v11", - "typename": "", - "static": false - }, - { - "name": "7441_v2.1", - "typename": "", - "static": false - }, - { - "name": "740_v3.0", - "typename": "", - "static": false - }, - { - "name": "mpc8545e_v21", - "typename": "", - "static": false - }, - { - "name": "602", - "typename": "", - "static": false - }, - { - "name": "mpc8378e", - "typename": "", - "static": false - }, - { - "name": "mpc8343a", - "typename": "", - "static": false - }, - { - "name": "mpc8555_v10", - "typename": "", - "static": false - }, - { - "name": "460exb", - "typename": "", - "static": false - }, - { - "name": "mpc8568", - "typename": "", - "static": false - }, - { - "name": "7457a_v1.2", - "typename": "", - "static": false - }, - { - "name": "750cx_v1.0", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.2", - "typename": "", - "static": false - }, - { - "name": "604e_v2.4", - "typename": "", - "static": false - }, - { - "name": "755_v2.8", - "typename": "", - "static": false - }, - { - "name": "405lp", - "typename": "", - "static": false - }, - { - "name": "745_v2.6", - "typename": "", - "static": false - }, - { - "name": "stb03", - "typename": "", - "static": false - }, - { - "name": "405ep", - "typename": "", - "static": false - }, { "name": "mpc8544_v10", "typename": "", "static": false }, - { - "name": "mpc8347at", - "typename": "", - "static": false - }, - { - "name": "750_v2.2", - "typename": "", - "static": false - }, - { - "name": "755_v2.3", - "typename": "", - "static": false - }, - { - "name": "7410_v1.1", - "typename": "", - "static": false - }, - { - "name": "745_v2.1", - "typename": "", - "static": false - }, - { - "name": "750gl", - "typename": "", - "static": false - }, - { - "name": "e6500", - "typename": "", - "static": false - }, - { - "name": "750p", - "typename": "", - "static": false - }, - { - "name": "7450_v1.0", - "typename": "", - "static": false - }, - { - "name": "7445_v3.4", - "typename": "", - "static": false - }, - { - "name": "440epb", - "typename": "", - "static": false - }, - { - "name": "npe4gs3", - "typename": "", - "static": false - }, - { - "name": "603e_v3", - "typename": "", - "static": false - }, - { - "name": "mpc8349e", - "typename": "", - "static": false - }, - { - "name": "970_v2.2", - "typename": "", - "static": false - }, { "name": "g2lels", "typename": "", "static": false }, { - "name": "g2hip4", + "name": "mpc8548e_v10", "typename": "", "static": false }, { - "name": "740p", - "typename": "", - "static": false - }, - { - "name": "mpc8567e", - "typename": "", - "static": false - }, - { - "name": "mpc8533e_v11", - "typename": "", - "static": false - }, - { - "name": "7451_v2.3", - "typename": "", - "static": false - }, - { - "name": "603e_v1.1", - "typename": "", - "static": false - }, - { - "name": "401g2", - "typename": "", - "static": false - }, - { - "name": "7400_v2.8", - "typename": "", - "static": false - }, - { - "name": "405gpc", - "typename": "", - "static": false - }, - { - "name": "7455_v2.1", - "typename": "", - "static": false - }, - { - "name": "7450_v2.0", - "typename": "", - "static": false - }, - { - "name": "power7+_v2.1", - "typename": "", - "static": false - }, - { - "name": "mpc8544e_v11", - "typename": "", - "static": false - }, - { - "name": "970mp_v1.1", - "typename": "", - "static": false - }, - { - "name": "603e7v2", - "typename": "", - "static": false - }, - { - "name": "604r", - "typename": "", - "static": false - }, - { - "name": "e500v2_v22", - "typename": "", - "static": false - }, - { - "name": "mpc8548e_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8545e_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8378", - "typename": "", - "static": false - }, - { - "name": "mpc8567", - "typename": "", - "static": false - }, - { - "name": "7457_v1.2", - "typename": "", - "static": false - }, - { - "name": "7447_v1.0", - "typename": "", - "static": false - }, - { - "name": "970fx_v3.1", - "typename": "", - "static": false - }, - { - "name": "745_v2.5", - "typename": "", - "static": false - }, - { - "name": "mpc8379e", - "typename": "", - "static": false - }, - { - "name": "mpc8641", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.4b", - "typename": "", - "static": false - }, - { - "name": "7410_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc603", - "typename": "", - "static": false - }, - { - "name": "603e_v4.1", - "typename": "", - "static": false - }, - { - "name": "7445_v3.3", - "typename": "", - "static": false - }, - { - "name": "440epa", - "typename": "", - "static": false - }, - { - "name": "970fx_v2.1", - "typename": "", - "static": false - }, - { - "name": "iop480", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.1", - "typename": "", - "static": false - }, - { - "name": "601_v0", - "typename": "", - "static": false - }, - { - "name": "g2legp1", - "typename": "", - "static": false - }, - { - "name": "745_v1.0", - "typename": "", - "static": false - }, - { - "name": "750cl_v2.0", + "name": "440epx", "typename": "", "static": false }, @@ -8649,17 +7900,302 @@ "static": false }, { - "name": "401", + "name": "g2le", "typename": "", "static": false }, { - "name": "mpc8541e_v11", + "name": "mpc8543e_v11", "typename": "", "static": false }, { - "name": "750cxe_v3.1", + "name": "755_v2.0", + "typename": "", + "static": false + }, + { + "name": "e500v2_v20", + "typename": "", + "static": false + }, + { + "name": "401b2", + "typename": "", + "static": false + }, + { + "name": "x2vp20", + "typename": "", + "static": false + }, + { + "name": "405gpc", + "typename": "", + "static": false + }, + { + "name": "mpc8544_v11", + "typename": "", + "static": false + }, + { + "name": "mpc8548e_v11", + "typename": "", + "static": false + }, + { + "name": "405cra", + "typename": "", + "static": false + }, + { + "name": "npe405h", + "typename": "", + "static": false + }, + { + "name": "mpc8533_v11", + "typename": "", + "static": false + }, + { + "name": "7441_v2.10", + "typename": "", + "static": false + }, + { + "name": "mpc8544e_v10", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.1", + "typename": "", + "static": false + }, + { + "name": "750cx_v2.0", + "typename": "", + "static": false + }, + { + "name": "405gpd", + "typename": "", + "static": false + }, + { + "name": "7445_v3.2", + "typename": "", + "static": false + }, + { + "name": "mpc8545_v20", + "typename": "", + "static": false + }, + { + "name": "603p", + "typename": "", + "static": false + }, + { + "name": "601_v0", + "typename": "", + "static": false + }, + { + "name": "7400_v2.1", + "typename": "", + "static": false + }, + { + "name": "7455_v3.2", + "typename": "", + "static": false + }, + { + "name": "603e_v1.2", + "typename": "", + "static": false + }, + { + "name": "mpc8610", + "typename": "", + "static": false + }, + { + "name": "mpc8544e_v11", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.2", + "typename": "", + "static": false + }, + { + "name": "401g2", + "typename": "", + "static": false + }, + { + "name": "mpc8547e_v20", + "typename": "", + "static": false + }, + { + "name": "7445_v3.3", + "typename": "", + "static": false + }, + { + "name": "mpc8545_v21", + "typename": "", + "static": false + }, + { + "name": "mpc8379e", + "typename": "", + "static": false + }, + { + "name": "403gcx", + "typename": "", + "static": false + }, + { + "name": "mpc8349e", + "typename": "", + "static": false + }, + { + "name": "iop480", + "typename": "", + "static": false + }, + { + "name": "601_v1", + "typename": "", + "static": false + }, + { + "name": "7400_v2.2", + "typename": "", + "static": false + }, + { + "name": "7455_v3.3", + "typename": "", + "static": false + }, + { + "name": "603e_v1.3", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.4b", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v11", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.3", + "typename": "", + "static": false + }, + { + "name": "mpc8547e_v21", + "typename": "", + "static": false + }, + { + "name": "mpc8572e", + "typename": "", + "static": false + }, + { + "name": "mpc8543e_v20", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.1", + "typename": "", + "static": false + }, + { + "name": "602", + "typename": "", + "static": false + }, + { + "name": "mpc8555e_v10", + "typename": "", + "static": false + }, + { + "name": "7451_v2.10", + "typename": "", + "static": false + }, + { + "name": "750l_v2.2", + "typename": "", + "static": false + }, + { + "name": "970fx_v3.0", + "typename": "", + "static": false + }, + { + "name": "mpc8548e_v20", + "typename": "", + "static": false + }, + { + "name": "mpc8641d", + "typename": "", + "static": false + }, + { + "name": "7410_v1.0", + "typename": "", + "static": false + }, + { + "name": "401a1", + "typename": "", + "static": false + }, + { + "name": "mpc8543e_v21", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.2", + "typename": "", + "static": false + }, + { + "name": "e500v2_v30", + "typename": "", + "static": false + }, + { + "name": "405ez", + "typename": "", + "static": false + }, + { + "name": "401c2", "typename": "", "static": false }, @@ -8669,12 +8205,77 @@ "static": false }, { - "name": "power5+_v2.1", + "name": "405d2", "typename": "", "static": false }, { - "name": "403gb", + "name": "970fx_v3.1", + "typename": "", + "static": false + }, + { + "name": "mpc8548e_v21", + "typename": "", + "static": false + }, + { + "name": "405lp", + "typename": "", + "static": false + }, + { + "name": "mpc8349ea", + "typename": "", + "static": false + }, + { + "name": "750e", + "typename": "", + "static": false + }, + { + "name": "750cxe_v3.1b", + "typename": "", + "static": false + }, + { + "name": "740_v3.0", + "typename": "", + "static": false + }, + { + "name": "440epa", + "typename": "", + "static": false + }, + { + "name": "mpc5200b_v20", + "typename": "", + "static": false + }, + { + "name": "745_v2.6", + "typename": "", + "static": false + }, + { + "name": "750_v3.0", + "typename": "", + "static": false + }, + { + "name": "603e7v", + "typename": "", + "static": false + }, + { + "name": "mpc8377", + "typename": "", + "static": false + }, + { + "name": "7445_v1.0", "typename": "", "static": false }, @@ -8684,27 +8285,32 @@ "static": false }, { - "name": "e300c2", + "name": "740_v3.1", "typename": "", "static": false }, { - "name": "npe405h2", + "name": "970mp_v1.0", "typename": "", "static": false }, { - "name": "mpc8533e_v10", + "name": "mpc8641", "typename": "", "static": false }, { - "name": "mpc5200_v10", + "name": "e200z5", "typename": "", "static": false }, { - "name": "mpc8343e", + "name": "750p", + "typename": "", + "static": false + }, + { + "name": "745_v2.7", "typename": "", "static": false }, @@ -8713,86 +8319,1102 @@ "typename": "", "static": false }, + { + "name": "7450_v1.1", + "typename": "", + "static": false + }, + { + "name": "x2vp4", + "typename": "", + "static": false + }, + { + "name": "cobra", + "typename": "", + "static": false + }, + { + "name": "7447a_v1.2", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v21", + "typename": "", + "static": false + }, + { + "name": "755_v2.7", + "typename": "", + "static": false + }, + { + "name": "970mp_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8545e_v20", + "typename": "", + "static": false + }, + { + "name": "e200z6", + "typename": "", + "static": false + }, + { + "name": "745_v2.8", + "typename": "", + "static": false + }, + { + "name": "7450_v1.2", + "typename": "", + "static": false + }, + { + "name": "405gpa", + "typename": "", + "static": false + }, + { + "name": "stb03", + "typename": "", + "static": false + }, + { + "name": "755_v2.8", + "typename": "", + "static": false + }, + { + "name": "power9_v1.0", + "typename": "", + "static": false + }, + { + "name": "7457a_v1.0", + "typename": "", + "static": false + }, + { + "name": "mpc8349a", + "typename": "", + "static": false + }, + { + "name": "401d2", + "typename": "", + "static": false + }, + { + "name": "g2gp", + "typename": "", + "static": false + }, + { + "name": "stb25", + "typename": "", + "static": false + }, + { + "name": "750cl_v1.0", + "typename": "", + "static": false + }, + { + "name": "7457a_v1.1", + "typename": "", + "static": false + }, + { + "name": "g2legp3", + "typename": "", + "static": false + }, + { + "name": "mpc8347t", + "typename": "", + "static": false + }, + { + "name": "mpc8533_v10", + "typename": "", + "static": false + }, + { + "name": "401", + "typename": "", + "static": false + }, + { + "name": "440-xilinx", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v10", + "typename": "", + "static": false + }, + { + "name": "mpc8347ap", + "typename": "", + "static": false + }, + { + "name": "604e_v1.0", + "typename": "", + "static": false + }, + { + "name": "7457a_v1.2", + "typename": "", + "static": false + }, + { + "name": "7400_v2.0", + "typename": "", + "static": false + }, + { + "name": "603e7v1", + "typename": "", + "static": false + }, + { + "name": "7447_v1.0", + "typename": "", + "static": false + }, + { + "name": "603e_v4.1", + "typename": "", + "static": false + }, + { + "name": "603e_v1.1", + "typename": "", + "static": false + }, + { + "name": "npe4gs3", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v11", + "typename": "", + "static": false + }, + { + "name": "970fx_v1.0", + "typename": "", + "static": false + }, + { + "name": "604e_v2.4", + "typename": "", + "static": false + }, + { + "name": "7457_v1.0", + "typename": "", + "static": false + }, + { + "name": "e300c4", + "typename": "", + "static": false + }, + { + "name": "603e7v2", + "typename": "", + "static": false + }, + { + "name": "7447_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v10", + "typename": "", + "static": false + }, + { + "name": "mpc5200_v12", + "typename": "", + "static": false + }, { "name": "power8e_v2.1", "typename": "", "static": false }, + { + "name": "750l_v2.0", + "typename": "", + "static": false + }, + { + "name": "mpc8343a", + "typename": "", + "static": false + }, + { + "name": "host", + "typename": "", + "static": false + }, + { + "name": "mpc8347eap", + "typename": "", + "static": false + }, + { + "name": "7457_v1.1", + "typename": "", + "static": false + }, + { + "name": "e600", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.0", + "typename": "", + "static": false + }, + { + "name": "740_v1.0", + "typename": "", + "static": false + }, + { + "name": "7448_v1.0", + "typename": "", + "static": false + }, + { + "name": "750l_v2.1", + "typename": "", + "static": false + }, + { + "name": "7457_v1.2", + "typename": "", + "static": false + }, + { + "name": "750_v1.0", + "typename": "", + "static": false + }, + { + "name": "745_v2.3", + "typename": "", + "static": false + }, + { + "name": "745_v1.0", + "typename": "", + "static": false + }, + { + "name": "7448_v1.1", + "typename": "", + "static": false + }, + { + "name": "755_v1.0", + "typename": "", + "static": false + }, + { + "name": "power7_v2.3", + "typename": "", + "static": false + }, + { + "name": "npe405h2", + "typename": "", + "static": false + }, + { + "name": "745_v2.4", + "typename": "", + "static": false + }, + { + "name": "745_v1.1", + "typename": "", + "static": false + }, + { + "name": "603e7t", + "typename": "", + "static": false + }, + { + "name": "mpc8572", + "typename": "", + "static": false + }, + { + "name": "g2legp", + "typename": "", + "static": false + }, + { + "name": "755_v2.4", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v20", + "typename": "", + "static": false + }, + { + "name": "755_v1.1", + "typename": "", + "static": false + }, + { + "name": "750fl", + "typename": "", + "static": false + }, + { + "name": "750cx_v1.0", + "typename": "", + "static": false + }, + { + "name": "745_v2.5", + "typename": "", + "static": false + }, + { + "name": "mpc8349", + "typename": "", + "static": false + }, + { + "name": "power9_v2.0", + "typename": "", + "static": false + }, + { + "name": "7447a_v1.0", + "typename": "", + "static": false + }, + { + "name": "755_v2.5", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v21", + "typename": "", + "static": false + }, + { + "name": "603e_v4", + "typename": "", + "static": false + }, + { + "name": "403gb", + "typename": "", + "static": false + }, + { + "name": "7450_v1.0", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v20", + "typename": "", + "static": false + }, + { + "name": "755_v2.6", + "typename": "", + "static": false + }, + { + "name": "e5500", + "typename": "", + "static": false + }, + { + "name": "mpc8568", + "typename": "", + "static": false + }, + { + "name": "mpc8343ea", + "typename": "", + "static": false + }, + { + "name": "g2", + "typename": "", + "static": false + }, + { + "name": "403gc", + "typename": "", + "static": false + }, + { + "name": "mpc8541_v10", + "typename": "", + "static": false + }, + { + "name": "7455_v1.0", + "typename": "", + "static": false + }, + { + "name": "750cxe_v3.0", + "typename": "", + "static": false + }, + { + "name": "603e7", + "typename": "", + "static": false + }, + { + "name": "power5+_v2.1", + "typename": "", + "static": false + }, + { + "name": "g2legp1", + "typename": "", + "static": false + }, + { + "name": "7441_v2.3", + "typename": "", + "static": false + }, + { + "name": "mpc8541_v11", + "typename": "", + "static": false + }, + { + "name": "750cxe_v3.1", + "typename": "", + "static": false + }, + { + "name": "7410_v1.3", + "typename": "", + "static": false + }, + { + "name": "7451_v2.3", + "typename": "", + "static": false + }, + { + "name": "7400_v2.7", + "typename": "", + "static": false + }, + { + "name": "e500_v10", + "typename": "", + "static": false + }, + { + "name": "603e_v2.2", + "typename": "", + "static": false + }, + { + "name": "970fx_v2.1", + "typename": "", + "static": false + }, + { + "name": "7410_v1.4", + "typename": "", + "static": false + }, + { + "name": "mpc8567e", + "typename": "", + "static": false + }, + { + "name": "604e_v2.2", + "typename": "", + "static": false + }, + { + "name": "7400_v2.8", + "typename": "", + "static": false + }, + { + "name": "740e", + "typename": "", + "static": false + }, + { + "name": "e300c2", + "typename": "", + "static": false + }, + { + "name": "750gl", + "typename": "", + "static": false + }, + { + "name": "mpc8540_v10", + "typename": "", + "static": false + }, + { + "name": "7448_v2.0", + "typename": "", + "static": false + }, + { + "name": "mpc5200_v10", + "typename": "", + "static": false + }, + { + "name": "7400_v2.9", + "typename": "", + "static": false + }, + { + "name": "mpc8533e_v11", + "typename": "", + "static": false + }, + { + "name": "745_v2.0", + "typename": "", + "static": false + }, + { + "name": "e500mc", + "typename": "", + "static": false + }, + { + "name": "e300c3", + "typename": "", + "static": false + }, + { + "name": "740_v2.1", + "typename": "", + "static": false + }, + { + "name": "7448_v2.1", + "typename": "", + "static": false + }, + { + "name": "750l_v3.2", + "typename": "", + "static": false + }, + { + "name": "mpc8555_v11", + "typename": "", + "static": false + }, + { + "name": "mpc5200_v11", + "typename": "", + "static": false + }, + { + "name": "740p", + "typename": "", + "static": false + }, + { + "name": "750_v2.1", + "typename": "", + "static": false + }, + { + "name": "745_v2.1", + "typename": "", + "static": false + }, + { + "name": "g2h4", + "typename": "", + "static": false + }, + { + "name": "740_v2.2", + "typename": "", + "static": false + }, + { + "name": "755_v2.1", + "typename": "", + "static": false + }, + { + "name": "mpc603", + "typename": "", + "static": false + }, + { + "name": "e500v2_v21", + "typename": "", + "static": false + }, + { + "name": "750_v2.2", + "typename": "", + "static": false + }, + { + "name": "745_v2.2", + "typename": "", + "static": false + }, + { + "name": "405crb", + "typename": "", + "static": false + }, + { + "name": "mpc8347et", + "typename": "", + "static": false + }, + { + "name": "755_v2.2", + "typename": "", + "static": false + }, + { + "name": "e500v2_v22", + "typename": "", + "static": false + }, + { + "name": "750cx_v2.1", + "typename": "", + "static": false + }, + { + "name": "e6500", + "typename": "", + "static": false + }, + { + "name": "e500_v20", + "typename": "", + "static": false + }, + { + "name": "7450_v2.0", + "typename": "", + "static": false + }, + { + "name": "power8_v2.0", + "typename": "", + "static": false + }, + { + "name": "g2hip3", + "typename": "", + "static": false + }, + { + "name": "405crc", + "typename": "", + "static": false + }, + { + "name": "755_v2.3", + "typename": "", + "static": false + }, + { + "name": "401e2", + "typename": "", + "static": false + }, + { + "name": "mpc8560_v10", + "typename": "", + "static": false + }, { "name": "mpc8568e", "typename": "", "static": false + }, + { + "name": "750cx_v2.2", + "typename": "", + "static": false + }, + { + "name": "mpc8540_v20", + "typename": "", + "static": false + }, + { + "name": "mpc8377e", + "typename": "", + "static": false + }, + { + "name": "7450_v2.1", + "typename": "", + "static": false + }, + { + "name": "7445_v3.4", + "typename": "", + "static": false + }, + { + "name": "7445_v2.1", + "typename": "", + "static": false + }, + { + "name": "g2hip4", + "typename": "", + "static": false + }, + { + "name": "601_v2", + "typename": "", + "static": false + }, + { + "name": "7400_v1.0", + "typename": "", + "static": false + }, + { + "name": "7455_v3.4", + "typename": "", + "static": false + }, + { + "name": "603e_v1.4", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.4", + "typename": "", + "static": false + }, + { + "name": "403ga", + "typename": "", + "static": false + }, + { + "name": "7455_v2.1", + "typename": "", + "static": false + }, + { + "name": "603e_v3", + "typename": "", + "static": false + }, + { + "name": "405ep", + "typename": "", + "static": false + }, + { + "name": "mpc8540_v21", + "typename": "", + "static": false + }, + { + "name": "g2ls", + "typename": "", + "static": false + }, + { + "name": "mpc8347at", + "typename": "", + "static": false + }, + { + "name": "npe405l", + "typename": "", + "static": false + }, + { + "name": "603", + "typename": "", + "static": false + }, + { + "name": "mpc8541e_v10", + "typename": "", + "static": false + }, + { + "name": "7400_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8567", + "typename": "", + "static": false + }, + { + "name": "mpc8347p", + "typename": "", + "static": false + }, + { + "name": "7441_v2.1", + "typename": "", + "static": false + }, + { + "name": "7410_v1.1", + "typename": "", + "static": false + }, + { + "name": "e500v2_v10", + "typename": "", + "static": false + }, + { + "name": "750cl_v2.0", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.3", + "typename": "", + "static": false + }, + { + "name": "604", + "typename": "", + "static": false + }, + { + "name": "mpc8541e_v11", + "typename": "", + "static": false + }, + { + "name": "750fx_v1.0", + "typename": "", + "static": false + }, + { + "name": "405gpr", + "typename": "", + "static": false + }, + { + "name": "mpc8343e", + "typename": "", + "static": false + }, + { + "name": "mpc8347eat", + "typename": "", + "static": false + }, + { + "name": "7410_v1.2", + "typename": "", + "static": false + }, + { + "name": "750gx_v1.0", + "typename": "", + "static": false + }, + { + "name": "7400_v2.6", + "typename": "", + "static": false + }, + { + "name": "440epb", + "typename": "", + "static": false + }, + { + "name": "mpc5200b_v21", + "typename": "", + "static": false + }, + { + "name": "405d4", + "typename": "", + "static": false + }, + { + "name": "970fx_v2.0", + "typename": "", + "static": false + }, + { + "name": "750gx_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8378", + "typename": "", + "static": false + }, + { + "name": "mpc8560_v20", + "typename": "", + "static": false + }, + { + "name": "460exb", + "typename": "", + "static": false + }, + { + "name": "401f2", + "typename": "", + "static": false + }, + { + "name": "e300c1", + "typename": "", + "static": false + }, + { + "name": "power7+_v2.1", + "typename": "", + "static": false + }, + { + "name": "440-xilinx-w-dfpu", + "typename": "", + "static": false + }, + { + "name": "mpc8378e", + "typename": "", + "static": false + }, + { + "name": "mpc8347ep", + "typename": "", + "static": false + }, + { + "name": "750l_v3.0", + "typename": "", + "static": false + }, + { + "name": "604r", + "typename": "", + "static": false + }, + { + "name": "750gx_v1.2", + "typename": "", + "static": false + }, + { + "name": "power10_v1.0", + "typename": "", + "static": false + }, + { + "name": "mpc8560_v21", + "typename": "", + "static": false + }, + { + "name": "970_v2.2", + "typename": "", + "static": false + }, + { + "name": "mpc8533e_v10", + "typename": "", + "static": false + }, + { + "name": "mpc8379", + "typename": "", + "static": false } ], - "id": "libvirt-35" + "id": "libvirt-36" } { "execute": "query-tpm-models", - "id": "libvirt-36" + "id": "libvirt-37" } { "return": [ "tpm-spapr" ], - "id": "libvirt-36" + "id": "libvirt-37" } { "execute": "query-tpm-types", - "id": "libvirt-37" -} - -{ - "return": [ - "emulator" - ], - "id": "libvirt-37" -} - -{ - "execute": "query-command-line-options", "id": "libvirt-38" } { "return": [ - { - "parameters": [ - { - "name": "timeout", - "help": "Request timeout in seconds (default 0 = no timeout)", - "type": "number" - }, - { - "name": "initiator-name", - "help": "Initiator iqn name to use when connecting", - "type": "string" - }, - { - "name": "header-digest", - "help": "HeaderDigest setting. {CRC32C|CRC32C-NONE|NONE-CRC32C|NONE}", - "type": "string" - }, - { - "name": "password-secret", - "help": "ID of the secret providing password for CHAP authentication to target", - "type": "string" - }, - { - "name": "password", - "help": "password for CHAP authentication to target", - "type": "string" - }, - { - "name": "user", - "help": "username for CHAP authentication to target", - "type": "string" - } - ], - "option": "iscsi" - }, + "passthrough", + "emulator" + ], + "id": "libvirt-38" +} + +{ + "execute": "query-command-line-options", + "id": "libvirt-39" +} + +{ + "return": [ { "parameters": [ { @@ -8900,6 +9522,10 @@ "name": "socket", "type": "string" }, + { + "name": "multidevs", + "type": "string" + }, { "name": "readonly", "type": "boolean" @@ -9040,6 +9666,10 @@ "name": "socket", "type": "string" }, + { + "name": "multidevs", + "type": "string" + }, { "name": "readonly", "type": "boolean" @@ -9063,31 +9693,6 @@ ], "option": "fsdev" }, - { - "parameters": [ - { - "name": "resourcecontrol", - "type": "string" - }, - { - "name": "spawn", - "type": "string" - }, - { - "name": "elevateprivileges", - "type": "string" - }, - { - "name": "obsolete", - "type": "string" - }, - { - "name": "enable", - "type": "boolean" - } - ], - "option": "sandbox" - }, { "parameters": [ { @@ -9309,16 +9914,6 @@ }, { "parameters": [ - { - "name": "thread", - "help": "Enable/disable multi-threaded TCG", - "type": "string" - }, - { - "name": "accel", - "help": "Select the type of accelerator", - "type": "string" - } ], "option": "accel" }, @@ -9845,7 +10440,7 @@ }, { "name": "aio", - "help": "host AIO implementation (threads, native)", + "help": "host AIO implementation (threads, native, io_uring)", "type": "string" }, { @@ -9892,12 +10487,12 @@ "option": "drive" } ], - "id": "libvirt-38" + "id": "libvirt-39" } { "execute": "query-migrate-capabilities", - "id": "libvirt-39" + "id": "libvirt-40" } { @@ -9975,12 +10570,12 @@ "capability": "validate-uuid" } ], - "id": "libvirt-39" + "id": "libvirt-40" } { "execute": "query-qmp-schema", - "id": "libvirt-40" + "id": "libvirt-41" } { @@ -10048,73 +10643,89 @@ "arg-type": "6" }, { - "name": "JOB_STATUS_CHANGE", + "name": "GUEST_CRASHLOADED", "meta-type": "event", "arg-type": "7" }, { - "name": "job-pause", - "ret-type": "0", + "name": "query-pr-managers", + "ret-type": "[8]", "meta-type": "command", - "arg-type": "8" + "arg-type": "0" }, { - "name": "job-resume", + "name": "eject", "ret-type": "0", "meta-type": "command", "arg-type": "9" }, { - "name": "job-cancel", + "name": "blockdev-open-tray", "ret-type": "0", "meta-type": "command", "arg-type": "10" }, { - "name": "job-complete", + "name": "blockdev-close-tray", "ret-type": "0", "meta-type": "command", "arg-type": "11" }, { - "name": "job-dismiss", + "name": "blockdev-remove-medium", "ret-type": "0", "meta-type": "command", "arg-type": "12" }, { - "name": "job-finalize", + "name": "blockdev-insert-medium", "ret-type": "0", "meta-type": "command", "arg-type": "13" }, { - "name": "query-jobs", - "ret-type": "[14]", + "name": "blockdev-change-medium", + "ret-type": "0", "meta-type": "command", - "arg-type": "0" + "arg-type": "14" + }, + { + "name": "DEVICE_TRAY_MOVED", + "meta-type": "event", + "arg-type": "15" + }, + { + "name": "PR_MANAGER_STATUS_CHANGED", + "meta-type": "event", + "arg-type": "16" + }, + { + "name": "block_set_io_throttle", + "ret-type": "0", + "meta-type": "command", + "arg-type": "17" }, { "name": "block-latency-histogram-set", "ret-type": "0", "meta-type": "command", - "arg-type": "15" + "arg-type": "18" }, { "name": "query-block", - "ret-type": "[16]", + "ret-type": "[19]", "meta-type": "command", "arg-type": "0" }, { "name": "query-blockstats", - "ret-type": "[18]", + "ret-type": "[21]", "meta-type": "command", - "arg-type": "17" + "arg-type": "20" }, { "name": "query-block-jobs", - "ret-type": "[19]", + "ret-type": "[22]", "meta-type": "command", "arg-type": "0" }, @@ -10122,59 +10733,62 @@ "name": "block_passwd", "ret-type": "0", "meta-type": "command", - "arg-type": "20" + "arg-type": "23" }, { "name": "block_resize", "ret-type": "0", "meta-type": "command", - "arg-type": "21" + "arg-type": "24" }, { "name": "blockdev-snapshot-sync", "ret-type": "0", "meta-type": "command", - "arg-type": "22" + "arg-type": "25" }, { "name": "blockdev-snapshot", "ret-type": "0", "meta-type": "command", - "arg-type": "23" + "arg-type": "26", + "features": [ + "allow-write-only-overlay" + ] }, { "name": "change-backing-file", "ret-type": "0", "meta-type": "command", - "arg-type": "24" + "arg-type": "27" }, { "name": "block-commit", "ret-type": "0", "meta-type": "command", - "arg-type": "25" + "arg-type": "28" }, { "name": "drive-backup", "ret-type": "0", "meta-type": "command", - "arg-type": "26" + "arg-type": "29" }, { "name": "blockdev-backup", "ret-type": "0", "meta-type": "command", - "arg-type": "27" + "arg-type": "30" }, { "name": "query-named-block-nodes", - "ret-type": "[28]", + "ret-type": "[32]", "meta-type": "command", - "arg-type": "0" + "arg-type": "31" }, { "name": "x-debug-query-block-graph", - "ret-type": "29", + "ret-type": "33", "meta-type": "command", "arg-type": "0" }, @@ -10182,263 +10796,203 @@ "name": "drive-mirror", "ret-type": "0", "meta-type": "command", - "arg-type": "30" + "arg-type": "34" }, { "name": "block-dirty-bitmap-add", "ret-type": "0", "meta-type": "command", - "arg-type": "31" + "arg-type": "35" }, { "name": "block-dirty-bitmap-remove", "ret-type": "0", "meta-type": "command", - "arg-type": "32" + "arg-type": "36" }, { "name": "block-dirty-bitmap-clear", "ret-type": "0", "meta-type": "command", - "arg-type": "32" + "arg-type": "36" }, { "name": "block-dirty-bitmap-enable", "ret-type": "0", "meta-type": "command", - "arg-type": "32" + "arg-type": "36" }, { "name": "block-dirty-bitmap-disable", "ret-type": "0", "meta-type": "command", - "arg-type": "32" + "arg-type": "36" }, { "name": "block-dirty-bitmap-merge", "ret-type": "0", "meta-type": "command", - "arg-type": "33" + "arg-type": "37" }, { "name": "x-debug-block-dirty-bitmap-sha256", - "ret-type": "34", + "ret-type": "38", "meta-type": "command", - "arg-type": "32" + "arg-type": "36" }, { "name": "blockdev-mirror", "ret-type": "0", "meta-type": "command", - "arg-type": "35" - }, - { - "name": "block_set_io_throttle", - "ret-type": "0", - "meta-type": "command", - "arg-type": "36" + "arg-type": "39" }, { "name": "block-stream", "ret-type": "0", "meta-type": "command", - "arg-type": "37" + "arg-type": "40" }, { "name": "block-job-set-speed", "ret-type": "0", "meta-type": "command", - "arg-type": "38" + "arg-type": "41" }, { "name": "block-job-cancel", "ret-type": "0", "meta-type": "command", - "arg-type": "39" + "arg-type": "42" }, { "name": "block-job-pause", "ret-type": "0", "meta-type": "command", - "arg-type": "40" + "arg-type": "43" }, { "name": "block-job-resume", "ret-type": "0", "meta-type": "command", - "arg-type": "41" + "arg-type": "44" }, { "name": "block-job-complete", "ret-type": "0", "meta-type": "command", - "arg-type": "42" + "arg-type": "45" }, { "name": "block-job-dismiss", "ret-type": "0", "meta-type": "command", - "arg-type": "43" + "arg-type": "46" }, { "name": "block-job-finalize", "ret-type": "0", "meta-type": "command", - "arg-type": "44" + "arg-type": "47" }, { "name": "blockdev-add", "ret-type": "0", "meta-type": "command", - "arg-type": "45" + "arg-type": "48" }, { "name": "x-blockdev-reopen", "ret-type": "0", "meta-type": "command", - "arg-type": "45" + "arg-type": "48" }, { "name": "blockdev-del", "ret-type": "0", "meta-type": "command", - "arg-type": "46" + "arg-type": "49" }, { "name": "blockdev-create", "ret-type": "0", "meta-type": "command", - "arg-type": "47" - }, - { - "name": "blockdev-open-tray", - "ret-type": "0", - "meta-type": "command", - "arg-type": "48" - }, - { - "name": "blockdev-close-tray", - "ret-type": "0", - "meta-type": "command", - "arg-type": "49" - }, - { - "name": "blockdev-remove-medium", - "ret-type": "0", - "meta-type": "command", "arg-type": "50" }, - { - "name": "blockdev-insert-medium", - "ret-type": "0", - "meta-type": "command", - "arg-type": "51" - }, - { - "name": "blockdev-change-medium", - "ret-type": "0", - "meta-type": "command", - "arg-type": "52" - }, { "name": "BLOCK_IMAGE_CORRUPTED", "meta-type": "event", - "arg-type": "53" + "arg-type": "51" }, { "name": "BLOCK_IO_ERROR", "meta-type": "event", - "arg-type": "54" + "arg-type": "52" }, { "name": "BLOCK_JOB_COMPLETED", "meta-type": "event", - "arg-type": "55" + "arg-type": "53" }, { "name": "BLOCK_JOB_CANCELLED", "meta-type": "event", - "arg-type": "56" + "arg-type": "54" }, { "name": "BLOCK_JOB_ERROR", "meta-type": "event", - "arg-type": "57" + "arg-type": "55" }, { "name": "BLOCK_JOB_READY", "meta-type": "event", - "arg-type": "58" + "arg-type": "56" }, { "name": "BLOCK_JOB_PENDING", "meta-type": "event", - "arg-type": "59" + "arg-type": "57" }, { "name": "BLOCK_WRITE_THRESHOLD", "meta-type": "event", - "arg-type": "60" + "arg-type": "58" }, { "name": "block-set-write-threshold", "ret-type": "0", "meta-type": "command", - "arg-type": "61" + "arg-type": "59" }, { "name": "x-blockdev-change", "ret-type": "0", "meta-type": "command", - "arg-type": "62" + "arg-type": "60" }, { "name": "x-blockdev-set-iothread", "ret-type": "0", "meta-type": "command", - "arg-type": "63" - }, - { - "name": "query-pr-managers", - "ret-type": "[64]", - "meta-type": "command", - "arg-type": "0" - }, - { - "name": "blockdev-snapshot-internal-sync", - "ret-type": "0", - "meta-type": "command", - "arg-type": "65" - }, - { - "name": "blockdev-snapshot-delete-internal-sync", - "ret-type": "67", - "meta-type": "command", - "arg-type": "66" - }, - { - "name": "eject", - "ret-type": "0", - "meta-type": "command", - "arg-type": "68" + "arg-type": "61" }, { "name": "nbd-server-start", "ret-type": "0", "meta-type": "command", - "arg-type": "69" + "arg-type": "62" }, { "name": "nbd-server-add", "ret-type": "0", "meta-type": "command", - "arg-type": "70" + "arg-type": "63" }, { "name": "nbd-server-remove", "ret-type": "0", "meta-type": "command", - "arg-type": "71" + "arg-type": "64" }, { "name": "nbd-server-stop", @@ -10446,35 +11000,84 @@ "meta-type": "command", "arg-type": "0" }, - { - "name": "DEVICE_TRAY_MOVED", - "meta-type": "event", - "arg-type": "72" - }, - { - "name": "PR_MANAGER_STATUS_CHANGED", - "meta-type": "event", - "arg-type": "73" - }, { "name": "QUORUM_FAILURE", "meta-type": "event", - "arg-type": "74" + "arg-type": "65" }, { "name": "QUORUM_REPORT_BAD", "meta-type": "event", + "arg-type": "66" + }, + { + "name": "blockdev-snapshot-internal-sync", + "ret-type": "0", + "meta-type": "command", + "arg-type": "67" + }, + { + "name": "blockdev-snapshot-delete-internal-sync", + "ret-type": "69", + "meta-type": "command", + "arg-type": "68" + }, + { + "name": "JOB_STATUS_CHANGE", + "meta-type": "event", + "arg-type": "70" + }, + { + "name": "job-pause", + "ret-type": "0", + "meta-type": "command", + "arg-type": "71" + }, + { + "name": "job-resume", + "ret-type": "0", + "meta-type": "command", + "arg-type": "72" + }, + { + "name": "job-cancel", + "ret-type": "0", + "meta-type": "command", + "arg-type": "73" + }, + { + "name": "job-complete", + "ret-type": "0", + "meta-type": "command", + "arg-type": "74" + }, + { + "name": "job-dismiss", + "ret-type": "0", + "meta-type": "command", "arg-type": "75" }, + { + "name": "job-finalize", + "ret-type": "0", + "meta-type": "command", + "arg-type": "76" + }, + { + "name": "query-jobs", + "ret-type": "[77]", + "meta-type": "command", + "arg-type": "0" + }, { "name": "query-chardev", - "ret-type": "[76]", + "ret-type": "[78]", "meta-type": "command", "arg-type": "0" }, { "name": "query-chardev-backends", - "ret-type": "[77]", + "ret-type": "[79]", "meta-type": "command", "arg-type": "0" }, @@ -10482,63 +11085,63 @@ "name": "ringbuf-write", "ret-type": "0", "meta-type": "command", - "arg-type": "78" + "arg-type": "80" }, { "name": "ringbuf-read", "ret-type": "str", "meta-type": "command", - "arg-type": "79" + "arg-type": "81" }, { "name": "chardev-add", - "ret-type": "81", + "ret-type": "83", "meta-type": "command", - "arg-type": "80" + "arg-type": "82" }, { "name": "chardev-change", - "ret-type": "81", + "ret-type": "83", "meta-type": "command", - "arg-type": "82" + "arg-type": "84" }, { "name": "chardev-remove", "ret-type": "0", "meta-type": "command", - "arg-type": "83" + "arg-type": "85" }, { "name": "chardev-send-break", "ret-type": "0", "meta-type": "command", - "arg-type": "84" + "arg-type": "86" }, { "name": "VSERPORT_CHANGE", "meta-type": "event", - "arg-type": "85" + "arg-type": "87" }, { "name": "dump-guest-memory", "ret-type": "0", "meta-type": "command", - "arg-type": "86" + "arg-type": "88" }, { "name": "query-dump", - "ret-type": "87", + "ret-type": "89", "meta-type": "command", "arg-type": "0" }, { "name": "DUMP_COMPLETED", "meta-type": "event", - "arg-type": "88" + "arg-type": "90" }, { "name": "query-dump-guest-memory-capability", - "ret-type": "89", + "ret-type": "91", "meta-type": "command", "arg-type": "0" }, @@ -10546,81 +11149,86 @@ "name": "set_link", "ret-type": "0", "meta-type": "command", - "arg-type": "90" + "arg-type": "92" }, { "name": "netdev_add", "ret-type": "0", "meta-type": "command", - "arg-type": "91" + "arg-type": "93" }, { "name": "netdev_del", "ret-type": "0", "meta-type": "command", - "arg-type": "92" + "arg-type": "94" }, { "name": "query-rx-filter", - "ret-type": "[94]", + "ret-type": "[96]", "meta-type": "command", - "arg-type": "93" + "arg-type": "95" }, { "name": "NIC_RX_FILTER_CHANGED", "meta-type": "event", - "arg-type": "95" + "arg-type": "97" }, { "name": "announce-self", "ret-type": "0", "meta-type": "command", - "arg-type": "96" + "arg-type": "98" + }, + { + "name": "FAILOVER_NEGOTIATED", + "meta-type": "event", + "arg-type": "99" }, { "name": "RDMA_GID_STATUS_CHANGED", "meta-type": "event", - "arg-type": "97" - }, - { - "name": "query-rocker", - "ret-type": "99", - "meta-type": "command", - "arg-type": "98" - }, - { - "name": "query-rocker-ports", - "ret-type": "[101]", - "meta-type": "command", "arg-type": "100" }, { - "name": "query-rocker-of-dpa-flows", - "ret-type": "[103]", + "name": "query-rocker", + "ret-type": "102", "meta-type": "command", - "arg-type": "102" + "arg-type": "101" + }, + { + "name": "query-rocker-ports", + "ret-type": "[104]", + "meta-type": "command", + "arg-type": "103" + }, + { + "name": "query-rocker-of-dpa-flows", + "ret-type": "[106]", + "meta-type": "command", + "arg-type": "105" }, { "name": "query-rocker-of-dpa-groups", - "ret-type": "[105]", + "ret-type": "[108]", "meta-type": "command", - "arg-type": "104" + "arg-type": "107" }, { "name": "query-tpm-models", - "ret-type": "[106]", + "ret-type": "[109]", "meta-type": "command", "arg-type": "0" }, { "name": "query-tpm-types", - "ret-type": "[107]", + "ret-type": "[110]", "meta-type": "command", "arg-type": "0" }, { "name": "query-tpm", - "ret-type": "[108]", + "ret-type": "[111]", "meta-type": "command", "arg-type": "0" }, @@ -10628,29 +11236,29 @@ "name": "set_password", "ret-type": "0", "meta-type": "command", - "arg-type": "109" + "arg-type": "112" }, { "name": "expire_password", "ret-type": "0", "meta-type": "command", - "arg-type": "110" + "arg-type": "113" }, { "name": "screendump", "ret-type": "0", "meta-type": "command", - "arg-type": "111" + "arg-type": "114" }, { "name": "query-vnc", - "ret-type": "116", + "ret-type": "119", "meta-type": "command", "arg-type": "0" }, { "name": "query-vnc-servers", - "ret-type": "[117]", + "ret-type": "[120]", "meta-type": "command", "arg-type": "0" }, @@ -10658,26 +11266,26 @@ "name": "change-vnc-password", "ret-type": "0", "meta-type": "command", - "arg-type": "118" + "arg-type": "121" }, { "name": "VNC_CONNECTED", "meta-type": "event", - "arg-type": "119" + "arg-type": "122" }, { "name": "VNC_INITIALIZED", "meta-type": "event", - "arg-type": "120" + "arg-type": "123" }, { "name": "VNC_DISCONNECTED", "meta-type": "event", - "arg-type": "121" + "arg-type": "124" }, { "name": "query-mice", - "ret-type": "[122]", + "ret-type": "[125]", "meta-type": "command", "arg-type": "0" }, @@ -10685,23 +11293,23 @@ "name": "send-key", "ret-type": "0", "meta-type": "command", - "arg-type": "123" + "arg-type": "126" }, { "name": "input-send-event", "ret-type": "0", "meta-type": "command", - "arg-type": "124" + "arg-type": "127" }, { "name": "query-display-options", - "ret-type": "125", + "ret-type": "128", "meta-type": "command", "arg-type": "0" }, { "name": "query-migrate", - "ret-type": "126", + "ret-type": "129", "meta-type": "command", "arg-type": "0" }, @@ -10709,11 +11317,11 @@ "name": "migrate-set-capabilities", "ret-type": "0", "meta-type": "command", - "arg-type": "127" + "arg-type": "130" }, { "name": "query-migrate-capabilities", - "ret-type": "[128]", + "ret-type": "[131]", "meta-type": "command", "arg-type": "0" }, @@ -10721,11 +11329,11 @@ "name": "migrate-set-parameters", "ret-type": "0", "meta-type": "command", - "arg-type": "129" + "arg-type": "132" }, { "name": "query-migrate-parameters", - "ret-type": "130", + "ret-type": "133", "meta-type": "command", "arg-type": "0" }, @@ -10733,7 +11341,7 @@ "name": "client_migrate_info", "ret-type": "0", "meta-type": "command", - "arg-type": "131" + "arg-type": "134" }, { "name": "migrate-start-postcopy", @@ -10744,17 +11352,17 @@ { "name": "MIGRATION", "meta-type": "event", - "arg-type": "132" + "arg-type": "135" }, { "name": "MIGRATION_PASS", "meta-type": "event", - "arg-type": "133" + "arg-type": "136" }, { "name": "COLO_EXIT", "meta-type": "event", - "arg-type": "134" + "arg-type": "137" }, { "name": "x-colo-lost-heartbeat", @@ -10772,25 +11380,25 @@ "name": "migrate-continue", "ret-type": "0", "meta-type": "command", - "arg-type": "135" + "arg-type": "138" }, { "name": "migrate_set_downtime", "ret-type": "0", "meta-type": "command", - "arg-type": "136" + "arg-type": "139" }, { "name": "migrate_set_speed", "ret-type": "0", "meta-type": "command", - "arg-type": "137" + "arg-type": "140" }, { "name": "migrate-set-cache-size", "ret-type": "0", "meta-type": "command", - "arg-type": "138" + "arg-type": "141" }, { "name": "query-migrate-cache-size", @@ -10802,29 +11410,29 @@ "name": "migrate", "ret-type": "0", "meta-type": "command", - "arg-type": "139" + "arg-type": "142" }, { "name": "migrate-incoming", "ret-type": "0", "meta-type": "command", - "arg-type": "140" + "arg-type": "143" }, { "name": "xen-save-devices-state", "ret-type": "0", "meta-type": "command", - "arg-type": "141" + "arg-type": "144" }, { "name": "xen-set-replication", "ret-type": "0", "meta-type": "command", - "arg-type": "142" + "arg-type": "145" }, { "name": "query-xen-replication-status", - "ret-type": "143", + "ret-type": "146", "meta-type": "command", "arg-type": "0" }, @@ -10836,7 +11444,7 @@ }, { "name": "query-colo-status", - "ret-type": "144", + "ret-type": "147", "meta-type": "command", "arg-type": "0" }, @@ -10845,7 +11453,7 @@ "ret-type": "0", "allow-oob": true, "meta-type": "command", - "arg-type": "145" + "arg-type": "148" }, { "name": "migrate-pause", @@ -10855,103 +11463,138 @@ "arg-type": "0" }, { - "name": "transaction", - "ret-type": "0", - "meta-type": "command", - "arg-type": "146" - }, - { - "name": "trace-event-get-state", - "ret-type": "[148]", - "meta-type": "command", - "arg-type": "147" - }, - { - "name": "trace-event-set-state", - "ret-type": "0", - "meta-type": "command", + "name": "UNPLUG_PRIMARY", + "meta-type": "event", "arg-type": "149" }, { - "name": "query-qmp-schema", - "ret-type": "[150]", + "name": "transaction", + "ret-type": "0", "meta-type": "command", - "arg-type": "0" + "arg-type": "150" }, { - "name": "qom-list", + "name": "trace-event-get-state", "ret-type": "[152]", "meta-type": "command", "arg-type": "151" }, { - "name": "qom-get", - "ret-type": "any", + "name": "trace-event-set-state", + "ret-type": "0", "meta-type": "command", "arg-type": "153" }, { - "name": "qom-set", + "name": "qmp_capabilities", "ret-type": "0", "meta-type": "command", "arg-type": "154" }, { - "name": "qom-list-types", + "name": "query-version", + "ret-type": "155", + "meta-type": "command", + "arg-type": "0" + }, + { + "name": "query-commands", "ret-type": "[156]", "meta-type": "command", - "arg-type": "155" + "arg-type": "0" }, { - "name": "qom-list-properties", - "ret-type": "[152]", + "name": "query-events", + "ret-type": "[157]", "meta-type": "command", - "arg-type": "157" + "arg-type": "0" }, { - "name": "object-add", + "name": "quit", "ret-type": "0", "meta-type": "command", - "arg-type": "158" + "arg-type": "0" }, { - "name": "object-del", - "ret-type": "0", + "name": "query-qmp-schema", + "ret-type": "[158]", + "meta-type": "command", + "arg-type": "0" + }, + { + "name": "qom-list", + "ret-type": "[160]", "meta-type": "command", "arg-type": "159" }, { - "name": "device-list-properties", - "ret-type": "[152]", - "meta-type": "command", - "arg-type": "160" - }, - { - "name": "device_add", - "ret-type": "0", + "name": "qom-get", + "ret-type": "any", "meta-type": "command", "arg-type": "161" }, { - "name": "device_del", + "name": "qom-set", "ret-type": "0", "meta-type": "command", "arg-type": "162" }, { - "name": "DEVICE_DELETED", - "meta-type": "event", + "name": "qom-list-types", + "ret-type": "[164]", + "meta-type": "command", "arg-type": "163" }, + { + "name": "qom-list-properties", + "ret-type": "[160]", + "meta-type": "command", + "arg-type": "165" + }, + { + "name": "object-add", + "ret-type": "0", + "meta-type": "command", + "arg-type": "166" + }, + { + "name": "object-del", + "ret-type": "0", + "meta-type": "command", + "arg-type": "167" + }, + { + "name": "device-list-properties", + "ret-type": "[160]", + "meta-type": "command", + "arg-type": "168" + }, + { + "name": "device_add", + "ret-type": "0", + "meta-type": "command", + "arg-type": "169" + }, + { + "name": "device_del", + "ret-type": "0", + "meta-type": "command", + "arg-type": "170" + }, + { + "name": "DEVICE_DELETED", + "meta-type": "event", + "arg-type": "171" + }, { "name": "query-cpus", - "ret-type": "[164]", + "ret-type": "[172]", "meta-type": "command", "arg-type": "0" }, { "name": "query-cpus-fast", - "ret-type": "[165]", + "ret-type": "[173]", "meta-type": "command", "arg-type": "0" }, @@ -10959,35 +11602,35 @@ "name": "cpu-add", "ret-type": "0", "meta-type": "command", - "arg-type": "166" + "arg-type": "174" }, { "name": "query-machines", - "ret-type": "[167]", + "ret-type": "[175]", "meta-type": "command", "arg-type": "0" }, { "name": "query-current-machine", - "ret-type": "168", + "ret-type": "176", "meta-type": "command", "arg-type": "0" }, { "name": "query-target", - "ret-type": "169", + "ret-type": "177", "meta-type": "command", "arg-type": "0" }, { "name": "query-memdev", - "ret-type": "[170]", + "ret-type": "[178]", "meta-type": "command", "arg-type": "0" }, { "name": "query-hotpluggable-cpus", - "ret-type": "[171]", + "ret-type": "[179]", "meta-type": "command", "arg-type": "0" }, @@ -10995,29 +11638,11 @@ "name": "set-numa-node", "ret-type": "0", "meta-type": "command", - "arg-type": "172" - }, - { - "name": "query-cpu-definitions", - "ret-type": "[179]", - "meta-type": "command", - "arg-type": "0" - }, - { - "name": "qmp_capabilities", - "ret-type": "0", - "meta-type": "command", "arg-type": "180" }, { - "name": "query-version", - "ret-type": "181", - "meta-type": "command", - "arg-type": "0" - }, - { - "name": "query-commands", - "ret-type": "[182]", + "name": "query-cpu-definitions", + "ret-type": "[187]", "meta-type": "command", "arg-type": "0" }, @@ -11025,58 +11650,46 @@ "name": "add_client", "ret-type": "0", "meta-type": "command", - "arg-type": "183" + "arg-type": "188" }, { "name": "query-name", - "ret-type": "184", + "ret-type": "189", "meta-type": "command", "arg-type": "0" }, { "name": "query-kvm", - "ret-type": "185", + "ret-type": "190", "meta-type": "command", "arg-type": "0" }, { "name": "query-uuid", - "ret-type": "186", - "meta-type": "command", - "arg-type": "0" - }, - { - "name": "query-events", - "ret-type": "[187]", + "ret-type": "191", "meta-type": "command", "arg-type": "0" }, { "name": "query-iothreads", - "ret-type": "[188]", + "ret-type": "[192]", "meta-type": "command", "arg-type": "0" }, { "name": "query-balloon", - "ret-type": "189", + "ret-type": "193", "meta-type": "command", "arg-type": "0" }, { "name": "BALLOON_CHANGE", "meta-type": "event", - "arg-type": "190" + "arg-type": "194" }, { "name": "query-pci", - "ret-type": "[191]", - "meta-type": "command", - "arg-type": "0" - }, - { - "name": "quit", - "ret-type": "0", + "ret-type": "[195]", "meta-type": "command", "arg-type": "0" }, @@ -11102,13 +11715,13 @@ "name": "memsave", "ret-type": "0", "meta-type": "command", - "arg-type": "192" + "arg-type": "196" }, { "name": "pmemsave", "ret-type": "0", "meta-type": "command", - "arg-type": "193" + "arg-type": "197" }, { "name": "cont", @@ -11138,106 +11751,109 @@ "name": "balloon", "ret-type": "0", "meta-type": "command", - "arg-type": "194" + "arg-type": "198" }, { "name": "human-monitor-command", "ret-type": "str", "meta-type": "command", - "arg-type": "195" + "arg-type": "199", + "features": [ + "savevm-monitor-nodes" + ] }, { "name": "change", "ret-type": "0", "meta-type": "command", - "arg-type": "196" + "arg-type": "200" }, { "name": "xen-set-global-dirty-log", "ret-type": "0", "meta-type": "command", - "arg-type": "197" + "arg-type": "201" }, { "name": "getfd", "ret-type": "0", "meta-type": "command", - "arg-type": "198" + "arg-type": "202" }, { "name": "closefd", "ret-type": "0", "meta-type": "command", - "arg-type": "199" + "arg-type": "203" }, { "name": "query-memory-size-summary", - "ret-type": "200", + "ret-type": "204", "meta-type": "command", "arg-type": "0" }, { "name": "add-fd", - "ret-type": "202", + "ret-type": "206", "meta-type": "command", - "arg-type": "201" + "arg-type": "205" }, { "name": "remove-fd", "ret-type": "0", "meta-type": "command", - "arg-type": "203" + "arg-type": "207" }, { "name": "query-fdsets", - "ret-type": "[204]", + "ret-type": "[208]", "meta-type": "command", "arg-type": "0" }, { "name": "query-command-line-options", - "ret-type": "[206]", + "ret-type": "[210]", "meta-type": "command", - "arg-type": "205" + "arg-type": "209" }, { "name": "query-memory-devices", - "ret-type": "[207]", + "ret-type": "[211]", "meta-type": "command", "arg-type": "0" }, { "name": "MEM_UNPLUG_ERROR", "meta-type": "event", - "arg-type": "208" + "arg-type": "212" }, { "name": "query-acpi-ospm-status", - "ret-type": "[209]", + "ret-type": "[213]", "meta-type": "command", "arg-type": "0" }, { "name": "ACPI_DEVICE_OST", "meta-type": "event", - "arg-type": "210" + "arg-type": "214" }, { "name": "xen-load-devices-state", "ret-type": "0", "meta-type": "command", - "arg-type": "211" + "arg-type": "215" }, { "name": "query-vm-generation-id", - "ret-type": "212", + "ret-type": "216", "meta-type": "command", "arg-type": "0" }, { "name": "RTC_CHANGE", "meta-type": "event", - "arg-type": "213" + "arg-type": "217" }, { "name": "0", @@ -11258,7 +11874,7 @@ }, { "name": "status", - "type": "219" + "type": "223" } ], "meta-type": "object" @@ -11272,7 +11888,7 @@ }, { "name": "reason", - "type": "220" + "type": "224" } ], "meta-type": "object" @@ -11286,7 +11902,7 @@ }, { "name": "reason", - "type": "220" + "type": "224" } ], "meta-type": "object" @@ -11296,7 +11912,7 @@ "members": [ { "name": "action", - "type": "221" + "type": "225" } ], "meta-type": "object" @@ -11306,7 +11922,7 @@ "members": [ { "name": "action", - "type": "221" + "type": "225" } ], "meta-type": "object" @@ -11316,12 +11932,12 @@ "members": [ { "name": "action", - "type": "222" + "type": "226" }, { "name": "info", "default": null, - "type": "223" + "type": "227" } ], "meta-type": "object" @@ -11330,22 +11946,32 @@ "name": "7", "members": [ { - "name": "id", - "type": "str" + "name": "action", + "type": "226" }, { - "name": "status", - "type": "224" + "name": "info", + "default": null, + "type": "227" } ], "meta-type": "object" }, + { + "name": "[8]", + "element-type": "8", + "meta-type": "array" + }, { "name": "8", "members": [ { "name": "id", "type": "str" + }, + { + "name": "connected", + "type": "bool" } ], "meta-type": "object" @@ -11354,8 +11980,19 @@ "name": "9", "members": [ { - "name": "id", + "name": "device", + "default": null, "type": "str" + }, + { + "name": "id", + "default": null, + "type": "str" + }, + { + "name": "force", + "default": null, + "type": "bool" } ], "meta-type": "object" @@ -11364,8 +12001,19 @@ "name": "10", "members": [ { - "name": "id", + "name": "device", + "default": null, "type": "str" + }, + { + "name": "id", + "default": null, + "type": "str" + }, + { + "name": "force", + "default": null, + "type": "bool" } ], "meta-type": "object" @@ -11373,8 +12021,14 @@ { "name": "11", "members": [ + { + "name": "device", + "default": null, + "type": "str" + }, { "name": "id", + "default": null, "type": "str" } ], @@ -11396,40 +12050,180 @@ { "name": "id", "type": "str" + }, + { + "name": "node-name", + "type": "str" } ], "meta-type": "object" }, { - "name": "[14]", - "element-type": "14", - "meta-type": "array" + "name": "14", + "members": [ + { + "name": "device", + "default": null, + "type": "str" + }, + { + "name": "id", + "default": null, + "type": "str" + }, + { + "name": "filename", + "type": "str" + }, + { + "name": "format", + "default": null, + "type": "str" + }, + { + "name": "read-only-mode", + "default": null, + "type": "228" + } + ], + "meta-type": "object" }, { - "name": "14", + "name": "15", + "members": [ + { + "name": "device", + "type": "str" + }, + { + "name": "id", + "type": "str" + }, + { + "name": "tray-open", + "type": "bool" + } + ], + "meta-type": "object" + }, + { + "name": "16", "members": [ { "name": "id", "type": "str" }, { - "name": "type", - "type": "225" + "name": "connected", + "type": "bool" + } + ], + "meta-type": "object" + }, + { + "name": "17", + "members": [ + { + "name": "device", + "default": null, + "type": "str" }, { - "name": "status", - "type": "224" + "name": "id", + "default": null, + "type": "str" }, { - "name": "current-progress", + "name": "bps", "type": "int" }, { - "name": "total-progress", + "name": "bps_rd", "type": "int" }, { - "name": "error", + "name": "bps_wr", + "type": "int" + }, + { + "name": "iops", + "type": "int" + }, + { + "name": "iops_rd", + "type": "int" + }, + { + "name": "iops_wr", + "type": "int" + }, + { + "name": "bps_max", + "default": null, + "type": "int" + }, + { + "name": "bps_rd_max", + "default": null, + "type": "int" + }, + { + "name": "bps_wr_max", + "default": null, + "type": "int" + }, + { + "name": "iops_max", + "default": null, + "type": "int" + }, + { + "name": "iops_rd_max", + "default": null, + "type": "int" + }, + { + "name": "iops_wr_max", + "default": null, + "type": "int" + }, + { + "name": "bps_max_length", + "default": null, + "type": "int" + }, + { + "name": "bps_rd_max_length", + "default": null, + "type": "int" + }, + { + "name": "bps_wr_max_length", + "default": null, + "type": "int" + }, + { + "name": "iops_max_length", + "default": null, + "type": "int" + }, + { + "name": "iops_rd_max_length", + "default": null, + "type": "int" + }, + { + "name": "iops_wr_max_length", + "default": null, + "type": "int" + }, + { + "name": "iops_size", + "default": null, + "type": "int" + }, + { + "name": "group", "default": null, "type": "str" } @@ -11437,7 +12231,7 @@ "meta-type": "object" }, { - "name": "15", + "name": "18", "members": [ { "name": "id", @@ -11467,12 +12261,12 @@ "meta-type": "object" }, { - "name": "[16]", - "element-type": "16", + "name": "[19]", + "element-type": "19", "meta-type": "array" }, { - "name": "16", + "name": "19", "members": [ { "name": "device", @@ -11498,7 +12292,7 @@ { "name": "inserted", "default": null, - "type": "28" + "type": "32" }, { "name": "tray_open", @@ -11508,18 +12302,18 @@ { "name": "io-status", "default": null, - "type": "226" + "type": "229" }, { "name": "dirty-bitmaps", "default": null, - "type": "[227]" + "type": "[230]" } ], "meta-type": "object" }, { - "name": "17", + "name": "20", "members": [ { "name": "query-nodes", @@ -11530,12 +12324,12 @@ "meta-type": "object" }, { - "name": "[18]", - "element-type": "18", + "name": "[21]", + "element-type": "21", "meta-type": "array" }, { - "name": "18", + "name": "21", "members": [ { "name": "device", @@ -11554,28 +12348,33 @@ }, { "name": "stats", - "type": "228" + "type": "231" + }, + { + "name": "driver-specific", + "default": null, + "type": "232" }, { "name": "parent", "default": null, - "type": "18" + "type": "21" }, { "name": "backing", "default": null, - "type": "18" + "type": "21" } ], "meta-type": "object" }, { - "name": "[19]", - "element-type": "19", + "name": "[22]", + "element-type": "22", "meta-type": "array" }, { - "name": "19", + "name": "22", "members": [ { "name": "type", @@ -11607,7 +12406,7 @@ }, { "name": "io-status", - "type": "226" + "type": "229" }, { "name": "ready", @@ -11615,7 +12414,7 @@ }, { "name": "status", - "type": "224" + "type": "233" }, { "name": "auto-finalize", @@ -11634,7 +12433,7 @@ "meta-type": "object" }, { - "name": "20", + "name": "23", "members": [ { "name": "device", @@ -11654,7 +12453,7 @@ "meta-type": "object" }, { - "name": "21", + "name": "24", "members": [ { "name": "device", @@ -11674,7 +12473,7 @@ "meta-type": "object" }, { - "name": "22", + "name": "25", "members": [ { "name": "device", @@ -11703,13 +12502,13 @@ { "name": "mode", "default": null, - "type": "229" + "type": "234" } ], "meta-type": "object" }, { - "name": "23", + "name": "26", "members": [ { "name": "node", @@ -11723,7 +12522,7 @@ "meta-type": "object" }, { - "name": "24", + "name": "27", "members": [ { "name": "device", @@ -11741,7 +12540,7 @@ "meta-type": "object" }, { - "name": "25", + "name": "28", "members": [ { "name": "job-id", @@ -11782,6 +12581,11 @@ "default": null, "type": "int" }, + { + "name": "on-error", + "default": null, + "type": "235" + }, { "name": "filter-node-name", "default": null, @@ -11801,7 +12605,7 @@ "meta-type": "object" }, { - "name": "26", + "name": "29", "members": [ { "name": "job-id", @@ -11814,7 +12618,7 @@ }, { "name": "sync", - "type": "230" + "type": "236" }, { "name": "speed", @@ -11829,7 +12633,7 @@ { "name": "bitmap-mode", "default": null, - "type": "231" + "type": "237" }, { "name": "compress", @@ -11839,12 +12643,12 @@ { "name": "on-source-error", "default": null, - "type": "232" + "type": "235" }, { "name": "on-target-error", "default": null, - "type": "232" + "type": "235" }, { "name": "auto-finalize", @@ -11856,6 +12660,11 @@ "default": null, "type": "bool" }, + { + "name": "filter-node-name", + "default": null, + "type": "str" + }, { "name": "target", "type": "str" @@ -11868,13 +12677,13 @@ { "name": "mode", "default": null, - "type": "229" + "type": "234" } ], "meta-type": "object" }, { - "name": "27", + "name": "30", "members": [ { "name": "job-id", @@ -11887,7 +12696,7 @@ }, { "name": "sync", - "type": "230" + "type": "236" }, { "name": "speed", @@ -11902,7 +12711,7 @@ { "name": "bitmap-mode", "default": null, - "type": "231" + "type": "237" }, { "name": "compress", @@ -11912,12 +12721,12 @@ { "name": "on-source-error", "default": null, - "type": "232" + "type": "235" }, { "name": "on-target-error", "default": null, - "type": "232" + "type": "235" }, { "name": "auto-finalize", @@ -11929,6 +12738,11 @@ "default": null, "type": "bool" }, + { + "name": "filter-node-name", + "default": null, + "type": "str" + }, { "name": "target", "type": "str" @@ -11937,12 +12751,23 @@ "meta-type": "object" }, { - "name": "[28]", - "element-type": "28", + "name": "31", + "members": [ + { + "name": "flat", + "default": null, + "type": "bool" + } + ], + "meta-type": "object" + }, + { + "name": "[32]", + "element-type": "32", "meta-type": "array" }, { - "name": "28", + "name": "32", "members": [ { "name": "file", @@ -11980,7 +12805,7 @@ }, { "name": "detect_zeroes", - "type": "233" + "type": "238" }, { "name": "bps", @@ -12008,7 +12833,7 @@ }, { "name": "image", - "type": "234" + "type": "239" }, { "name": "bps_max", @@ -12082,7 +12907,7 @@ }, { "name": "cache", - "type": "235" + "type": "240" }, { "name": "write_threshold", @@ -12091,27 +12916,27 @@ { "name": "dirty-bitmaps", "default": null, - "type": "[227]" + "type": "[230]" } ], "meta-type": "object" }, { - "name": "29", + "name": "33", "members": [ { "name": "nodes", - "type": "[236]" + "type": "[241]" }, { "name": "edges", - "type": "[237]" + "type": "[242]" } ], "meta-type": "object" }, { - "name": "30", + "name": "34", "members": [ { "name": "job-id", @@ -12143,12 +12968,12 @@ }, { "name": "sync", - "type": "230" + "type": "236" }, { "name": "mode", "default": null, - "type": "229" + "type": "234" }, { "name": "speed", @@ -12168,12 +12993,12 @@ { "name": "on-source-error", "default": null, - "type": "232" + "type": "235" }, { "name": "on-target-error", "default": null, - "type": "232" + "type": "235" }, { "name": "unmap", @@ -12183,7 +13008,7 @@ { "name": "copy-mode", "default": null, - "type": "238" + "type": "243" }, { "name": "auto-finalize", @@ -12199,7 +13024,7 @@ "meta-type": "object" }, { - "name": "31", + "name": "35", "members": [ { "name": "node", @@ -12219,11 +13044,6 @@ "default": null, "type": "bool" }, - { - "name": "autoload", - "default": null, - "type": "bool" - }, { "name": "disabled", "default": null, @@ -12233,7 +13053,7 @@ "meta-type": "object" }, { - "name": "32", + "name": "36", "members": [ { "name": "node", @@ -12247,7 +13067,7 @@ "meta-type": "object" }, { - "name": "33", + "name": "37", "members": [ { "name": "node", @@ -12259,13 +13079,13 @@ }, { "name": "bitmaps", - "type": "[239]" + "type": "[244]" } ], "meta-type": "object" }, { - "name": "34", + "name": "38", "members": [ { "name": "sha256", @@ -12275,7 +13095,7 @@ "meta-type": "object" }, { - "name": "35", + "name": "39", "members": [ { "name": "job-id", @@ -12297,7 +13117,7 @@ }, { "name": "sync", - "type": "230" + "type": "236" }, { "name": "speed", @@ -12317,12 +13137,12 @@ { "name": "on-source-error", "default": null, - "type": "232" + "type": "235" }, { "name": "on-target-error", "default": null, - "type": "232" + "type": "235" }, { "name": "filter-node-name", @@ -12332,7 +13152,7 @@ { "name": "copy-mode", "default": null, - "type": "238" + "type": "243" }, { "name": "auto-finalize", @@ -12348,117 +13168,7 @@ "meta-type": "object" }, { - "name": "36", - "members": [ - { - "name": "device", - "default": null, - "type": "str" - }, - { - "name": "id", - "default": null, - "type": "str" - }, - { - "name": "bps", - "type": "int" - }, - { - "name": "bps_rd", - "type": "int" - }, - { - "name": "bps_wr", - "type": "int" - }, - { - "name": "iops", - "type": "int" - }, - { - "name": "iops_rd", - "type": "int" - }, - { - "name": "iops_wr", - "type": "int" - }, - { - "name": "bps_max", - "default": null, - "type": "int" - }, - { - "name": "bps_rd_max", - "default": null, - "type": "int" - }, - { - "name": "bps_wr_max", - "default": null, - "type": "int" - }, - { - "name": "iops_max", - "default": null, - "type": "int" - }, - { - "name": "iops_rd_max", - "default": null, - "type": "int" - }, - { - "name": "iops_wr_max", - "default": null, - "type": "int" - }, - { - "name": "bps_max_length", - "default": null, - "type": "int" - }, - { - "name": "bps_rd_max_length", - "default": null, - "type": "int" - }, - { - "name": "bps_wr_max_length", - "default": null, - "type": "int" - }, - { - "name": "iops_max_length", - "default": null, - "type": "int" - }, - { - "name": "iops_rd_max_length", - "default": null, - "type": "int" - }, - { - "name": "iops_wr_max_length", - "default": null, - "type": "int" - }, - { - "name": "iops_size", - "default": null, - "type": "int" - }, - { - "name": "group", - "default": null, - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "37", + "name": "40", "members": [ { "name": "job-id", @@ -12492,7 +13202,7 @@ { "name": "on-error", "default": null, - "type": "232" + "type": "235" }, { "name": "auto-finalize", @@ -12508,7 +13218,7 @@ "meta-type": "object" }, { - "name": "38", + "name": "41", "members": [ { "name": "device", @@ -12522,7 +13232,7 @@ "meta-type": "object" }, { - "name": "39", + "name": "42", "members": [ { "name": "device", @@ -12536,41 +13246,11 @@ ], "meta-type": "object" }, - { - "name": "40", - "members": [ - { - "name": "device", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "41", - "members": [ - { - "name": "device", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "42", - "members": [ - { - "name": "device", - "type": "str" - } - ], - "meta-type": "object" - }, { "name": "43", "members": [ { - "name": "id", + "name": "device", "type": "str" } ], @@ -12580,7 +13260,7 @@ "name": "44", "members": [ { - "name": "id", + "name": "device", "type": "str" } ], @@ -12588,169 +13268,207 @@ }, { "name": "45", + "members": [ + { + "name": "device", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "46", + "members": [ + { + "name": "id", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "47", + "members": [ + { + "name": "id", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "48", "tag": "driver", "variants": [ { "case": "blkdebug", - "type": "243" - }, - { - "case": "blklogwrites", - "type": "244" - }, - { - "case": "blkverify", - "type": "245" - }, - { - "case": "bochs", - "type": "246" - }, - { - "case": "cloop", - "type": "246" - }, - { - "case": "copy-on-read", - "type": "246" - }, - { - "case": "dmg", - "type": "246" - }, - { - "case": "file", - "type": "247" - }, - { - "case": "ftp", "type": "248" }, { - "case": "ftps", + "case": "blklogwrites", "type": "249" }, { - "case": "gluster", + "case": "blkverify", "type": "250" }, { - "case": "host_cdrom", - "type": "247" - }, - { - "case": "host_device", - "type": "247" - }, - { - "case": "http", + "case": "blkreplay", "type": "251" }, { - "case": "https", + "case": "bochs", "type": "252" }, { - "case": "iscsi", + "case": "cloop", + "type": "252" + }, + { + "case": "compress", + "type": "252" + }, + { + "case": "copy-on-read", + "type": "252" + }, + { + "case": "dmg", + "type": "252" + }, + { + "case": "file", "type": "253" }, { - "case": "luks", + "case": "ftp", "type": "254" }, { - "case": "nbd", + "case": "ftps", "type": "255" }, { - "case": "nfs", + "case": "gluster", "type": "256" }, { - "case": "null-aio", + "case": "host_cdrom", + "type": "253" + }, + { + "case": "host_device", + "type": "253" + }, + { + "case": "http", "type": "257" }, { - "case": "null-co", - "type": "257" - }, - { - "case": "nvme", + "case": "https", "type": "258" }, { - "case": "parallels", - "type": "246" - }, - { - "case": "qcow2", + "case": "iscsi", "type": "259" }, { - "case": "qcow", + "case": "luks", "type": "260" }, { - "case": "qed", + "case": "nbd", "type": "261" }, { - "case": "quorum", + "case": "nfs", "type": "262" }, { - "case": "raw", + "case": "null-aio", "type": "263" }, { - "case": "rbd", + "case": "null-co", + "type": "263" + }, + { + "case": "nvme", "type": "264" }, { - "case": "replication", + "case": "parallels", + "type": "252" + }, + { + "case": "qcow2", "type": "265" }, { - "case": "sheepdog", + "case": "qcow", "type": "266" }, { - "case": "ssh", + "case": "qed", "type": "267" }, { - "case": "throttle", + "case": "quorum", "type": "268" }, { - "case": "vdi", - "type": "246" - }, - { - "case": "vhdx", - "type": "246" - }, - { - "case": "vmdk", - "type": "261" - }, - { - "case": "vpc", - "type": "246" - }, - { - "case": "vvfat", + "case": "raw", "type": "269" }, { - "case": "vxhs", + "case": "rbd", "type": "270" + }, + { + "case": "replication", + "type": "271" + }, + { + "case": "sheepdog", + "type": "272" + }, + { + "case": "ssh", + "type": "273" + }, + { + "case": "throttle", + "type": "274" + }, + { + "case": "vdi", + "type": "252" + }, + { + "case": "vhdx", + "type": "252" + }, + { + "case": "vmdk", + "type": "267" + }, + { + "case": "vpc", + "type": "252" + }, + { + "case": "vvfat", + "type": "275" + }, + { + "case": "vxhs", + "type": "276" } ], "members": [ { "name": "driver", - "type": "240" + "type": "245" }, { "name": "node-name", @@ -12760,12 +13478,12 @@ { "name": "discard", "default": null, - "type": "241" + "type": "246" }, { "name": "cache", "default": null, - "type": "242" + "type": "247" }, { "name": "read-only", @@ -12785,52 +13503,7 @@ { "name": "detect-zeroes", "default": null, - "type": "233" - } - ], - "meta-type": "object" - }, - { - "name": "46", - "members": [ - { - "name": "node-name", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "47", - "members": [ - { - "name": "job-id", - "type": "str" - }, - { - "name": "options", - "type": "271" - } - ], - "meta-type": "object" - }, - { - "name": "48", - "members": [ - { - "name": "device", - "default": null, - "type": "str" - }, - { - "name": "id", - "default": null, - "type": "str" - }, - { - "name": "force", - "default": null, - "type": "bool" + "type": "238" } ], "meta-type": "object" @@ -12839,13 +13512,7 @@ "name": "49", "members": [ { - "name": "device", - "default": null, - "type": "str" - }, - { - "name": "id", - "default": null, + "name": "node-name", "type": "str" } ], @@ -12855,58 +13522,18 @@ "name": "50", "members": [ { - "name": "id", + "name": "job-id", "type": "str" + }, + { + "name": "options", + "type": "277" } ], "meta-type": "object" }, { "name": "51", - "members": [ - { - "name": "id", - "type": "str" - }, - { - "name": "node-name", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "52", - "members": [ - { - "name": "device", - "default": null, - "type": "str" - }, - { - "name": "id", - "default": null, - "type": "str" - }, - { - "name": "filename", - "type": "str" - }, - { - "name": "format", - "default": null, - "type": "str" - }, - { - "name": "read-only-mode", - "default": null, - "type": "272" - } - ], - "meta-type": "object" - }, - { - "name": "53", "members": [ { "name": "device", @@ -12939,7 +13566,7 @@ "meta-type": "object" }, { - "name": "54", + "name": "52", "members": [ { "name": "device", @@ -12952,11 +13579,11 @@ }, { "name": "operation", - "type": "273" + "type": "278" }, { "name": "action", - "type": "274" + "type": "279" }, { "name": "nospace", @@ -12971,11 +13598,11 @@ "meta-type": "object" }, { - "name": "55", + "name": "53", "members": [ { "name": "type", - "type": "225" + "type": "280" }, { "name": "device", @@ -13001,12 +13628,56 @@ ], "meta-type": "object" }, + { + "name": "54", + "members": [ + { + "name": "type", + "type": "280" + }, + { + "name": "device", + "type": "str" + }, + { + "name": "len", + "type": "int" + }, + { + "name": "offset", + "type": "int" + }, + { + "name": "speed", + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "55", + "members": [ + { + "name": "device", + "type": "str" + }, + { + "name": "operation", + "type": "278" + }, + { + "name": "action", + "type": "279" + } + ], + "meta-type": "object" + }, { "name": "56", "members": [ { "name": "type", - "type": "225" + "type": "280" }, { "name": "device", @@ -13029,54 +13700,10 @@ }, { "name": "57", - "members": [ - { - "name": "device", - "type": "str" - }, - { - "name": "operation", - "type": "273" - }, - { - "name": "action", - "type": "274" - } - ], - "meta-type": "object" - }, - { - "name": "58", "members": [ { "name": "type", - "type": "225" - }, - { - "name": "device", - "type": "str" - }, - { - "name": "len", - "type": "int" - }, - { - "name": "offset", - "type": "int" - }, - { - "name": "speed", - "type": "int" - } - ], - "meta-type": "object" - }, - { - "name": "59", - "members": [ - { - "name": "type", - "type": "225" + "type": "280" }, { "name": "id", @@ -13086,7 +13713,7 @@ "meta-type": "object" }, { - "name": "60", + "name": "58", "members": [ { "name": "node-name", @@ -13104,7 +13731,7 @@ "meta-type": "object" }, { - "name": "61", + "name": "59", "members": [ { "name": "node-name", @@ -13118,7 +13745,7 @@ "meta-type": "object" }, { - "name": "62", + "name": "60", "members": [ { "name": "parent", @@ -13138,7 +13765,7 @@ "meta-type": "object" }, { - "name": "63", + "name": "61", "members": [ { "name": "node-name", @@ -13146,7 +13773,7 @@ }, { "name": "iothread", - "type": "275" + "type": "281" }, { "name": "force", @@ -13157,26 +13784,117 @@ "meta-type": "object" }, { - "name": "[64]", - "element-type": "64", - "meta-type": "array" + "name": "62", + "members": [ + { + "name": "addr", + "type": "282" + }, + { + "name": "tls-creds", + "default": null, + "type": "str" + }, + { + "name": "tls-authz", + "default": null, + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "63", + "members": [ + { + "name": "device", + "type": "str" + }, + { + "name": "name", + "default": null, + "type": "str" + }, + { + "name": "description", + "default": null, + "type": "str" + }, + { + "name": "writable", + "default": null, + "type": "bool" + }, + { + "name": "bitmap", + "default": null, + "type": "str" + } + ], + "meta-type": "object" }, { "name": "64", "members": [ { - "name": "id", + "name": "name", "type": "str" }, { - "name": "connected", - "type": "bool" + "name": "mode", + "default": null, + "type": "283" } ], "meta-type": "object" }, { "name": "65", + "members": [ + { + "name": "reference", + "type": "str" + }, + { + "name": "sector-num", + "type": "int" + }, + { + "name": "sectors-count", + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "66", + "members": [ + { + "name": "type", + "type": "284" + }, + { + "name": "error", + "default": null, + "type": "str" + }, + { + "name": "node-name", + "type": "str" + }, + { + "name": "sector-num", + "type": "int" + }, + { + "name": "sectors-count", + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "67", "members": [ { "name": "device", @@ -13190,7 +13908,7 @@ "meta-type": "object" }, { - "name": "66", + "name": "68", "members": [ { "name": "device", @@ -13210,7 +13928,7 @@ "meta-type": "object" }, { - "name": "67", + "name": "69", "members": [ { "name": "id", @@ -13243,68 +13961,16 @@ ], "meta-type": "object" }, - { - "name": "68", - "members": [ - { - "name": "device", - "default": null, - "type": "str" - }, - { - "name": "id", - "default": null, - "type": "str" - }, - { - "name": "force", - "default": null, - "type": "bool" - } - ], - "meta-type": "object" - }, - { - "name": "69", - "members": [ - { - "name": "addr", - "type": "276" - }, - { - "name": "tls-creds", - "default": null, - "type": "str" - }, - { - "name": "tls-authz", - "default": null, - "type": "str" - } - ], - "meta-type": "object" - }, { "name": "70", "members": [ { - "name": "device", + "name": "id", "type": "str" }, { - "name": "name", - "default": null, - "type": "str" - }, - { - "name": "writable", - "default": null, - "type": "bool" - }, - { - "name": "bitmap", - "default": null, - "type": "str" + "name": "status", + "type": "233" } ], "meta-type": "object" @@ -13313,13 +13979,8 @@ "name": "71", "members": [ { - "name": "name", + "name": "id", "type": "str" - }, - { - "name": "mode", - "default": null, - "type": "277" } ], "meta-type": "object" @@ -13327,17 +13988,9 @@ { "name": "72", "members": [ - { - "name": "device", - "type": "str" - }, { "name": "id", "type": "str" - }, - { - "name": "tray-open", - "type": "bool" } ], "meta-type": "object" @@ -13348,10 +14001,6 @@ { "name": "id", "type": "str" - }, - { - "name": "connected", - "type": "bool" } ], "meta-type": "object" @@ -13360,16 +14009,8 @@ "name": "74", "members": [ { - "name": "reference", + "name": "id", "type": "str" - }, - { - "name": "sector-num", - "type": "int" - }, - { - "name": "sectors-count", - "type": "int" } ], "meta-type": "object" @@ -13378,36 +14019,65 @@ "name": "75", "members": [ { - "name": "type", - "type": "278" - }, - { - "name": "error", - "default": null, + "name": "id", "type": "str" - }, - { - "name": "node-name", - "type": "str" - }, - { - "name": "sector-num", - "type": "int" - }, - { - "name": "sectors-count", - "type": "int" } ], "meta-type": "object" }, { - "name": "[76]", - "element-type": "76", + "name": "76", + "members": [ + { + "name": "id", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "[77]", + "element-type": "77", "meta-type": "array" }, { - "name": "76", + "name": "77", + "members": [ + { + "name": "id", + "type": "str" + }, + { + "name": "type", + "type": "280" + }, + { + "name": "status", + "type": "233" + }, + { + "name": "current-progress", + "type": "int" + }, + { + "name": "total-progress", + "type": "int" + }, + { + "name": "error", + "default": null, + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "[78]", + "element-type": "78", + "meta-type": "array" + }, + { + "name": "78", "members": [ { "name": "label", @@ -13425,12 +14095,12 @@ "meta-type": "object" }, { - "name": "[77]", - "element-type": "77", + "name": "[79]", + "element-type": "79", "meta-type": "array" }, { - "name": "77", + "name": "79", "members": [ { "name": "name", @@ -13440,7 +14110,7 @@ "meta-type": "object" }, { - "name": "78", + "name": "80", "members": [ { "name": "device", @@ -13453,13 +14123,13 @@ { "name": "format", "default": null, - "type": "279" + "type": "285" } ], "meta-type": "object" }, { - "name": "79", + "name": "81", "members": [ { "name": "device", @@ -13472,7 +14142,7 @@ { "name": "format", "default": null, - "type": "279" + "type": "285" } ], "meta-type": "object" @@ -13482,31 +14152,6 @@ "json-type": "string", "meta-type": "builtin" }, - { - "name": "80", - "members": [ - { - "name": "id", - "type": "str" - }, - { - "name": "backend", - "type": "280" - } - ], - "meta-type": "object" - }, - { - "name": "81", - "members": [ - { - "name": "pty", - "default": null, - "type": "str" - } - ], - "meta-type": "object" - }, { "name": "82", "members": [ @@ -13516,7 +14161,7 @@ }, { "name": "backend", - "type": "280" + "type": "286" } ], "meta-type": "object" @@ -13525,7 +14170,8 @@ "name": "83", "members": [ { - "name": "id", + "name": "pty", + "default": null, "type": "str" } ], @@ -13537,12 +14183,36 @@ { "name": "id", "type": "str" + }, + { + "name": "backend", + "type": "286" } ], "meta-type": "object" }, { "name": "85", + "members": [ + { + "name": "id", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "86", + "members": [ + { + "name": "id", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "87", "members": [ { "name": "id", @@ -13556,7 +14226,7 @@ "meta-type": "object" }, { - "name": "86", + "name": "88", "members": [ { "name": "paging", @@ -13584,17 +14254,17 @@ { "name": "format", "default": null, - "type": "281" + "type": "287" } ], "meta-type": "object" }, { - "name": "87", + "name": "89", "members": [ { "name": "status", - "type": "282" + "type": "288" }, { "name": "completed", @@ -13608,11 +14278,11 @@ "meta-type": "object" }, { - "name": "88", + "name": "90", "members": [ { "name": "result", - "type": "87" + "type": "89" }, { "name": "error", @@ -13623,17 +14293,17 @@ "meta-type": "object" }, { - "name": "89", + "name": "91", "members": [ { "name": "formats", - "type": "[281]" + "type": "[287]" } ], "meta-type": "object" }, { - "name": "90", + "name": "92", "members": [ { "name": "name", @@ -13647,7 +14317,7 @@ "meta-type": "object" }, { - "name": "91", + "name": "93", "members": [ { "name": "type", @@ -13661,7 +14331,7 @@ "meta-type": "object" }, { - "name": "92", + "name": "94", "members": [ { "name": "id", @@ -13671,7 +14341,7 @@ "meta-type": "object" }, { - "name": "93", + "name": "95", "members": [ { "name": "name", @@ -13682,12 +14352,12 @@ "meta-type": "object" }, { - "name": "[94]", - "element-type": "94", + "name": "[96]", + "element-type": "96", "meta-type": "array" }, { - "name": "94", + "name": "96", "members": [ { "name": "name", @@ -13699,15 +14369,15 @@ }, { "name": "multicast", - "type": "283" + "type": "289" }, { "name": "unicast", - "type": "283" + "type": "289" }, { "name": "vlan", - "type": "283" + "type": "289" }, { "name": "broadcast-allowed", @@ -13741,7 +14411,7 @@ "meta-type": "object" }, { - "name": "95", + "name": "97", "members": [ { "name": "name", @@ -13756,7 +14426,7 @@ "meta-type": "object" }, { - "name": "96", + "name": "98", "members": [ { "name": "initial", @@ -13788,7 +14458,17 @@ "meta-type": "object" }, { - "name": "97", + "name": "99", + "members": [ + { + "name": "device-id", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "100", "members": [ { "name": "netdev", @@ -13810,7 +14490,7 @@ "meta-type": "object" }, { - "name": "98", + "name": "101", "members": [ { "name": "name", @@ -13820,7 +14500,7 @@ "meta-type": "object" }, { - "name": "99", + "name": "102", "members": [ { "name": "name", @@ -13838,7 +14518,7 @@ "meta-type": "object" }, { - "name": "100", + "name": "103", "members": [ { "name": "name", @@ -13848,12 +14528,12 @@ "meta-type": "object" }, { - "name": "[101]", - "element-type": "101", + "name": "[104]", + "element-type": "104", "meta-type": "array" }, { - "name": "101", + "name": "104", "members": [ { "name": "name", @@ -13873,17 +14553,17 @@ }, { "name": "duplex", - "type": "284" + "type": "290" }, { "name": "autoneg", - "type": "285" + "type": "291" } ], "meta-type": "object" }, { - "name": "102", + "name": "105", "members": [ { "name": "name", @@ -13898,12 +14578,12 @@ "meta-type": "object" }, { - "name": "[103]", - "element-type": "103", + "name": "[106]", + "element-type": "106", "meta-type": "array" }, { - "name": "103", + "name": "106", "members": [ { "name": "cookie", @@ -13915,21 +14595,21 @@ }, { "name": "key", - "type": "286" + "type": "292" }, { "name": "mask", - "type": "287" + "type": "293" }, { "name": "action", - "type": "288" + "type": "294" } ], "meta-type": "object" }, { - "name": "104", + "name": "107", "members": [ { "name": "name", @@ -13944,12 +14624,12 @@ "meta-type": "object" }, { - "name": "[105]", - "element-type": "105", + "name": "[108]", + "element-type": "108", "meta-type": "array" }, { - "name": "105", + "name": "108", "members": [ { "name": "id", @@ -14018,25 +14698,26 @@ "meta-type": "object" }, { - "name": "[106]", - "element-type": "106", + "name": "[109]", + "element-type": "109", "meta-type": "array" }, { - "name": "106", + "name": "109", "meta-type": "enum", "values": [ "tpm-tis", - "tpm-crb" + "tpm-crb", + "tpm-spapr" ] }, { - "name": "[107]", - "element-type": "107", + "name": "[110]", + "element-type": "110", "meta-type": "array" }, { - "name": "107", + "name": "110", "meta-type": "enum", "values": [ "passthrough", @@ -14044,12 +14725,12 @@ ] }, { - "name": "[108]", - "element-type": "108", + "name": "[111]", + "element-type": "111", "meta-type": "array" }, { - "name": "108", + "name": "111", "members": [ { "name": "id", @@ -14057,17 +14738,17 @@ }, { "name": "model", - "type": "106" + "type": "109" }, { "name": "options", - "type": "289" + "type": "295" } ], "meta-type": "object" }, { - "name": "109", + "name": "112", "members": [ { "name": "protocol", @@ -14086,7 +14767,7 @@ "meta-type": "object" }, { - "name": "110", + "name": "113", "members": [ { "name": "protocol", @@ -14100,7 +14781,7 @@ "meta-type": "object" }, { - "name": "111", + "name": "114", "members": [ { "name": "filename", @@ -14120,7 +14801,7 @@ "meta-type": "object" }, { - "name": "116", + "name": "119", "members": [ { "name": "enabled", @@ -14134,7 +14815,7 @@ { "name": "family", "default": null, - "type": "294" + "type": "300" }, { "name": "service", @@ -14149,18 +14830,18 @@ { "name": "clients", "default": null, - "type": "[295]" + "type": "[301]" } ], "meta-type": "object" }, { - "name": "[117]", - "element-type": "117", + "name": "[120]", + "element-type": "120", "meta-type": "array" }, { - "name": "117", + "name": "120", "members": [ { "name": "id", @@ -14168,20 +14849,20 @@ }, { "name": "server", - "type": "[296]" + "type": "[302]" }, { "name": "clients", - "type": "[295]" + "type": "[301]" }, { "name": "auth", - "type": "297" + "type": "303" }, { "name": "vencrypt", "default": null, - "type": "298" + "type": "304" }, { "name": "display", @@ -14192,7 +14873,7 @@ "meta-type": "object" }, { - "name": "118", + "name": "121", "members": [ { "name": "password", @@ -14202,54 +14883,54 @@ "meta-type": "object" }, { - "name": "119", + "name": "122", "members": [ { "name": "server", - "type": "299" + "type": "305" }, { "name": "client", - "type": "300" + "type": "306" } ], "meta-type": "object" }, { - "name": "120", + "name": "123", "members": [ { "name": "server", - "type": "299" + "type": "305" }, { "name": "client", - "type": "295" + "type": "301" } ], "meta-type": "object" }, { - "name": "121", + "name": "124", "members": [ { "name": "server", - "type": "299" + "type": "305" }, { "name": "client", - "type": "295" + "type": "301" } ], "meta-type": "object" }, { - "name": "[122]", - "element-type": "122", + "name": "[125]", + "element-type": "125", "meta-type": "array" }, { - "name": "122", + "name": "125", "members": [ { "name": "name", @@ -14271,11 +14952,11 @@ "meta-type": "object" }, { - "name": "123", + "name": "126", "members": [ { "name": "keys", - "type": "[301]" + "type": "[307]" }, { "name": "hold-time", @@ -14286,7 +14967,7 @@ "meta-type": "object" }, { - "name": "124", + "name": "127", "members": [ { "name": "device", @@ -14300,26 +14981,26 @@ }, { "name": "events", - "type": "[302]" + "type": "[308]" } ], "meta-type": "object" }, { - "name": "125", + "name": "128", "tag": "type", "variants": [ { "case": "gtk", - "type": "305" + "type": "311" }, { "case": "curses", - "type": "306" + "type": "312" }, { "case": "egl-headless", - "type": "307" + "type": "313" }, { "case": "default", @@ -14345,7 +15026,7 @@ "members": [ { "name": "type", - "type": "303" + "type": "309" }, { "name": "full-screen", @@ -14357,36 +15038,41 @@ "default": null, "type": "bool" }, + { + "name": "show-cursor", + "default": null, + "type": "bool" + }, { "name": "gl", "default": null, - "type": "304" + "type": "310" } ], "meta-type": "object" }, { - "name": "126", + "name": "129", "members": [ { "name": "status", "default": null, - "type": "308" + "type": "314" }, { "name": "ram", "default": null, - "type": "309" + "type": "315" }, { "name": "disk", "default": null, - "type": "309" + "type": "315" }, { "name": "xbzrle-cache", "default": null, - "type": "310" + "type": "316" }, { "name": "total-time", @@ -14431,37 +15117,37 @@ { "name": "compression", "default": null, - "type": "311" + "type": "317" }, { "name": "socket-address", "default": null, - "type": "[312]" + "type": "[318]" } ], "meta-type": "object" }, { - "name": "127", + "name": "130", "members": [ { "name": "capabilities", - "type": "[128]" + "type": "[131]" } ], "meta-type": "object" }, { - "name": "[128]", - "element-type": "128", + "name": "[131]", + "element-type": "131", "meta-type": "array" }, { - "name": "128", + "name": "131", "members": [ { "name": "capability", - "type": "313" + "type": "319" }, { "name": "state", @@ -14471,7 +15157,7 @@ "meta-type": "object" }, { - "name": "129", + "name": "132", "members": [ { "name": "announce-initial", @@ -14513,6 +15199,11 @@ "default": null, "type": "int" }, + { + "name": "throttle-trigger-threshold", + "default": null, + "type": "int" + }, { "name": "cpu-throttle-initial", "default": null, @@ -14526,17 +15217,17 @@ { "name": "tls-creds", "default": null, - "type": "275" + "type": "281" }, { "name": "tls-hostname", "default": null, - "type": "275" + "type": "281" }, { "name": "tls-authz", "default": null, - "type": "275" + "type": "281" }, { "name": "max-bandwidth", @@ -14577,12 +15268,27 @@ "name": "max-cpu-throttle", "default": null, "type": "int" + }, + { + "name": "multifd-compression", + "default": null, + "type": "320" + }, + { + "name": "multifd-zlib-level", + "default": null, + "type": "int" + }, + { + "name": "multifd-zstd-level", + "default": null, + "type": "int" } ], "meta-type": "object" }, { - "name": "130", + "name": "133", "members": [ { "name": "announce-initial", @@ -14624,6 +15330,11 @@ "default": null, "type": "int" }, + { + "name": "throttle-trigger-threshold", + "default": null, + "type": "int" + }, { "name": "cpu-throttle-initial", "default": null, @@ -14688,12 +15399,27 @@ "name": "max-cpu-throttle", "default": null, "type": "int" + }, + { + "name": "multifd-compression", + "default": null, + "type": "320" + }, + { + "name": "multifd-zlib-level", + "default": null, + "type": "int" + }, + { + "name": "multifd-zstd-level", + "default": null, + "type": "int" } ], "meta-type": "object" }, { - "name": "131", + "name": "134", "members": [ { "name": "protocol", @@ -14722,17 +15448,17 @@ "meta-type": "object" }, { - "name": "132", + "name": "135", "members": [ { "name": "status", - "type": "308" + "type": "314" } ], "meta-type": "object" }, { - "name": "133", + "name": "136", "members": [ { "name": "pass", @@ -14742,31 +15468,31 @@ "meta-type": "object" }, { - "name": "134", + "name": "137", "members": [ { "name": "mode", - "type": "314" + "type": "321" }, { "name": "reason", - "type": "315" + "type": "322" } ], "meta-type": "object" }, { - "name": "135", + "name": "138", "members": [ { "name": "state", - "type": "308" + "type": "314" } ], "meta-type": "object" }, { - "name": "136", + "name": "139", "members": [ { "name": "value", @@ -14776,7 +15502,7 @@ "meta-type": "object" }, { - "name": "137", + "name": "140", "members": [ { "name": "value", @@ -14786,7 +15512,7 @@ "meta-type": "object" }, { - "name": "138", + "name": "141", "members": [ { "name": "value", @@ -14801,7 +15527,7 @@ "meta-type": "builtin" }, { - "name": "139", + "name": "142", "members": [ { "name": "uri", @@ -14831,7 +15557,7 @@ "meta-type": "object" }, { - "name": "140", + "name": "143", "members": [ { "name": "uri", @@ -14841,7 +15567,7 @@ "meta-type": "object" }, { - "name": "141", + "name": "144", "members": [ { "name": "filename", @@ -14856,7 +15582,7 @@ "meta-type": "object" }, { - "name": "142", + "name": "145", "members": [ { "name": "enable", @@ -14875,7 +15601,7 @@ "meta-type": "object" }, { - "name": "143", + "name": "146", "members": [ { "name": "error", @@ -14890,25 +15616,25 @@ "meta-type": "object" }, { - "name": "144", + "name": "147", "members": [ { "name": "mode", - "type": "314" + "type": "321" }, { "name": "last-mode", - "type": "314" + "type": "321" }, { "name": "reason", - "type": "315" + "type": "322" } ], "meta-type": "object" }, { - "name": "145", + "name": "148", "members": [ { "name": "uri", @@ -14918,22 +15644,32 @@ "meta-type": "object" }, { - "name": "146", + "name": "149", "members": [ { - "name": "actions", - "type": "[316]" - }, - { - "name": "properties", - "default": null, - "type": "317" + "name": "device-id", + "type": "str" } ], "meta-type": "object" }, { - "name": "147", + "name": "150", + "members": [ + { + "name": "actions", + "type": "[323]" + }, + { + "name": "properties", + "default": null, + "type": "324" + } + ], + "meta-type": "object" + }, + { + "name": "151", "members": [ { "name": "name", @@ -14948,12 +15684,12 @@ "meta-type": "object" }, { - "name": "[148]", - "element-type": "148", + "name": "[152]", + "element-type": "152", "meta-type": "array" }, { - "name": "148", + "name": "152", "members": [ { "name": "name", @@ -14961,7 +15697,7 @@ }, { "name": "state", - "type": "318" + "type": "325" }, { "name": "vcpu", @@ -14971,7 +15707,7 @@ "meta-type": "object" }, { - "name": "149", + "name": "153", "members": [ { "name": "name", @@ -14995,41 +15731,96 @@ "meta-type": "object" }, { - "name": "[150]", - "element-type": "150", + "name": "154", + "members": [ + { + "name": "enable", + "default": null, + "type": "[326]" + } + ], + "meta-type": "object" + }, + { + "name": "155", + "members": [ + { + "name": "qemu", + "type": "327" + }, + { + "name": "package", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "[156]", + "element-type": "156", "meta-type": "array" }, { - "name": "150", + "name": "156", + "members": [ + { + "name": "name", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "[157]", + "element-type": "157", + "meta-type": "array" + }, + { + "name": "157", + "members": [ + { + "name": "name", + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "[158]", + "element-type": "158", + "meta-type": "array" + }, + { + "name": "158", "tag": "meta-type", "variants": [ { "case": "builtin", - "type": "320" + "type": "329" }, { "case": "enum", - "type": "321" + "type": "330" }, { "case": "array", - "type": "322" + "type": "331" }, { "case": "object", - "type": "323" + "type": "332" }, { "case": "alternate", - "type": "324" + "type": "333" }, { "case": "command", - "type": "325" + "type": "334" }, { "case": "event", - "type": "326" + "type": "335" } ], "members": [ @@ -15039,13 +15830,13 @@ }, { "name": "meta-type", - "type": "319" + "type": "328" } ], "meta-type": "object" }, { - "name": "151", + "name": "159", "members": [ { "name": "path", @@ -15055,12 +15846,12 @@ "meta-type": "object" }, { - "name": "[152]", - "element-type": "152", + "name": "[160]", + "element-type": "160", "meta-type": "array" }, { - "name": "152", + "name": "160", "members": [ { "name": "name", @@ -15074,12 +15865,17 @@ "name": "description", "default": null, "type": "str" + }, + { + "name": "default-value", + "default": null, + "type": "any" } ], "meta-type": "object" }, { - "name": "153", + "name": "161", "members": [ { "name": "path", @@ -15098,7 +15894,7 @@ "meta-type": "builtin" }, { - "name": "154", + "name": "162", "members": [ { "name": "path", @@ -15116,7 +15912,7 @@ "meta-type": "object" }, { - "name": "155", + "name": "163", "members": [ { "name": "implements", @@ -15132,12 +15928,12 @@ "meta-type": "object" }, { - "name": "[156]", - "element-type": "156", + "name": "[164]", + "element-type": "164", "meta-type": "array" }, { - "name": "156", + "name": "164", "members": [ { "name": "name", @@ -15157,7 +15953,7 @@ "meta-type": "object" }, { - "name": "157", + "name": "165", "members": [ { "name": "typename", @@ -15167,7 +15963,7 @@ "meta-type": "object" }, { - "name": "158", + "name": "166", "members": [ { "name": "qom-type", @@ -15186,7 +15982,7 @@ "meta-type": "object" }, { - "name": "159", + "name": "167", "members": [ { "name": "id", @@ -15196,7 +15992,7 @@ "meta-type": "object" }, { - "name": "160", + "name": "168", "members": [ { "name": "typename", @@ -15206,7 +16002,7 @@ "meta-type": "object" }, { - "name": "161", + "name": "169", "members": [ { "name": "driver", @@ -15226,7 +16022,7 @@ "meta-type": "object" }, { - "name": "162", + "name": "170", "members": [ { "name": "id", @@ -15236,7 +16032,7 @@ "meta-type": "object" }, { - "name": "163", + "name": "171", "members": [ { "name": "device", @@ -15251,41 +16047,41 @@ "meta-type": "object" }, { - "name": "[164]", - "element-type": "164", + "name": "[172]", + "element-type": "172", "meta-type": "array" }, { - "name": "164", + "name": "172", "tag": "arch", "variants": [ { "case": "x86", - "type": "329" + "type": "338" }, { "case": "sparc", - "type": "330" + "type": "339" }, { "case": "ppc", - "type": "331" + "type": "340" }, { "case": "mips", - "type": "332" + "type": "341" }, { "case": "tricore", - "type": "333" + "type": "342" }, { "case": "s390", - "type": "334" + "type": "343" }, { "case": "riscv", - "type": "335" + "type": "344" }, { "case": "other", @@ -15316,27 +16112,27 @@ { "name": "props", "default": null, - "type": "327" + "type": "336" }, { "name": "arch", - "type": "328" + "type": "337" } ], "meta-type": "object" }, { - "name": "[165]", - "element-type": "165", + "name": "[173]", + "element-type": "173", "meta-type": "array" }, { - "name": "165", + "name": "173", "tag": "target", "variants": [ { "case": "s390x", - "type": "334" + "type": "343" }, { "case": "aarch64", @@ -15475,21 +16271,21 @@ { "name": "props", "default": null, - "type": "327" + "type": "336" }, { "name": "arch", - "type": "328" + "type": "337" }, { "name": "target", - "type": "336" + "type": "345" } ], "meta-type": "object" }, { - "name": "166", + "name": "174", "members": [ { "name": "id", @@ -15499,12 +16295,12 @@ "meta-type": "object" }, { - "name": "[167]", - "element-type": "167", + "name": "[175]", + "element-type": "175", "meta-type": "array" }, { - "name": "167", + "name": "175", "members": [ { "name": "name", @@ -15545,7 +16341,7 @@ "meta-type": "object" }, { - "name": "168", + "name": "176", "members": [ { "name": "wakeup-suspend-support", @@ -15555,22 +16351,22 @@ "meta-type": "object" }, { - "name": "169", + "name": "177", "members": [ { "name": "arch", - "type": "336" + "type": "345" } ], "meta-type": "object" }, { - "name": "[170]", - "element-type": "170", + "name": "[178]", + "element-type": "178", "meta-type": "array" }, { - "name": "170", + "name": "178", "members": [ { "name": "id", @@ -15599,60 +16395,7 @@ }, { "name": "policy", - "type": "337" - } - ], - "meta-type": "object" - }, - { - "name": "[171]", - "element-type": "171", - "meta-type": "array" - }, - { - "name": "171", - "members": [ - { - "name": "type", - "type": "str" - }, - { - "name": "vcpus-count", - "type": "int" - }, - { - "name": "props", - "type": "327" - }, - { - "name": "qom-path", - "default": null, - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "172", - "tag": "type", - "variants": [ - { - "case": "node", - "type": "339" - }, - { - "case": "dist", - "type": "340" - }, - { - "case": "cpu", - "type": "341" - } - ], - "members": [ - { - "name": "type", - "type": "338" + "type": "346" } ], "meta-type": "object" @@ -15664,6 +16407,67 @@ }, { "name": "179", + "members": [ + { + "name": "type", + "type": "str" + }, + { + "name": "vcpus-count", + "type": "int" + }, + { + "name": "props", + "type": "336" + }, + { + "name": "qom-path", + "default": null, + "type": "str" + } + ], + "meta-type": "object" + }, + { + "name": "180", + "tag": "type", + "variants": [ + { + "case": "node", + "type": "348" + }, + { + "case": "dist", + "type": "349" + }, + { + "case": "cpu", + "type": "350" + }, + { + "case": "hmat-lb", + "type": "351" + }, + { + "case": "hmat-cache", + "type": "352" + } + ], + "members": [ + { + "name": "type", + "type": "347" + } + ], + "meta-type": "object" + }, + { + "name": "[187]", + "element-type": "187", + "meta-type": "array" + }, + { + "name": "187", "members": [ { "name": "name", @@ -15696,47 +16500,7 @@ "meta-type": "object" }, { - "name": "180", - "members": [ - { - "name": "enable", - "default": null, - "type": "[345]" - } - ], - "meta-type": "object" - }, - { - "name": "181", - "members": [ - { - "name": "qemu", - "type": "346" - }, - { - "name": "package", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "[182]", - "element-type": "182", - "meta-type": "array" - }, - { - "name": "182", - "members": [ - { - "name": "name", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "183", + "name": "188", "members": [ { "name": "protocol", @@ -15760,7 +16524,7 @@ "meta-type": "object" }, { - "name": "184", + "name": "189", "members": [ { "name": "name", @@ -15771,7 +16535,7 @@ "meta-type": "object" }, { - "name": "185", + "name": "190", "members": [ { "name": "enabled", @@ -15785,7 +16549,7 @@ "meta-type": "object" }, { - "name": "186", + "name": "191", "members": [ { "name": "UUID", @@ -15795,27 +16559,12 @@ "meta-type": "object" }, { - "name": "[187]", - "element-type": "187", + "name": "[192]", + "element-type": "192", "meta-type": "array" }, { - "name": "187", - "members": [ - { - "name": "name", - "type": "str" - } - ], - "meta-type": "object" - }, - { - "name": "[188]", - "element-type": "188", - "meta-type": "array" - }, - { - "name": "188", + "name": "192", "members": [ { "name": "id", @@ -15841,7 +16590,7 @@ "meta-type": "object" }, { - "name": "189", + "name": "193", "members": [ { "name": "actual", @@ -15851,7 +16600,7 @@ "meta-type": "object" }, { - "name": "190", + "name": "194", "members": [ { "name": "actual", @@ -15861,12 +16610,12 @@ "meta-type": "object" }, { - "name": "[191]", - "element-type": "191", + "name": "[195]", + "element-type": "195", "meta-type": "array" }, { - "name": "191", + "name": "195", "members": [ { "name": "bus", @@ -15874,13 +16623,13 @@ }, { "name": "devices", - "type": "[347]" + "type": "[356]" } ], "meta-type": "object" }, { - "name": "192", + "name": "196", "members": [ { "name": "val", @@ -15903,7 +16652,7 @@ "meta-type": "object" }, { - "name": "193", + "name": "197", "members": [ { "name": "val", @@ -15921,7 +16670,7 @@ "meta-type": "object" }, { - "name": "194", + "name": "198", "members": [ { "name": "value", @@ -15931,7 +16680,7 @@ "meta-type": "object" }, { - "name": "195", + "name": "199", "members": [ { "name": "command-line", @@ -15946,7 +16695,7 @@ "meta-type": "object" }, { - "name": "196", + "name": "200", "members": [ { "name": "device", @@ -15965,7 +16714,7 @@ "meta-type": "object" }, { - "name": "197", + "name": "201", "members": [ { "name": "enable", @@ -15975,7 +16724,7 @@ "meta-type": "object" }, { - "name": "198", + "name": "202", "members": [ { "name": "fdname", @@ -15985,7 +16734,7 @@ "meta-type": "object" }, { - "name": "199", + "name": "203", "members": [ { "name": "fdname", @@ -15995,7 +16744,7 @@ "meta-type": "object" }, { - "name": "200", + "name": "204", "members": [ { "name": "base-memory", @@ -16010,7 +16759,7 @@ "meta-type": "object" }, { - "name": "201", + "name": "205", "members": [ { "name": "fdset-id", @@ -16026,7 +16775,7 @@ "meta-type": "object" }, { - "name": "202", + "name": "206", "members": [ { "name": "fdset-id", @@ -16040,7 +16789,7 @@ "meta-type": "object" }, { - "name": "203", + "name": "207", "members": [ { "name": "fdset-id", @@ -16055,12 +16804,12 @@ "meta-type": "object" }, { - "name": "[204]", - "element-type": "204", + "name": "[208]", + "element-type": "208", "meta-type": "array" }, { - "name": "204", + "name": "208", "members": [ { "name": "fdset-id", @@ -16068,13 +16817,13 @@ }, { "name": "fds", - "type": "[348]" + "type": "[357]" } ], "meta-type": "object" }, { - "name": "205", + "name": "209", "members": [ { "name": "option", @@ -16085,12 +16834,12 @@ "meta-type": "object" }, { - "name": "[206]", - "element-type": "206", + "name": "[210]", + "element-type": "210", "meta-type": "array" }, { - "name": "206", + "name": "210", "members": [ { "name": "option", @@ -16098,43 +16847,43 @@ }, { "name": "parameters", - "type": "[349]" + "type": "[358]" } ], "meta-type": "object" }, { - "name": "[207]", - "element-type": "207", + "name": "[211]", + "element-type": "211", "meta-type": "array" }, { - "name": "207", + "name": "211", "tag": "type", "variants": [ { "case": "dimm", - "type": "351" + "type": "360" }, { "case": "nvdimm", - "type": "351" + "type": "360" }, { "case": "virtio-pmem", - "type": "352" + "type": "361" } ], "members": [ { "name": "type", - "type": "350" + "type": "359" } ], "meta-type": "object" }, { - "name": "208", + "name": "212", "members": [ { "name": "device", @@ -16148,12 +16897,12 @@ "meta-type": "object" }, { - "name": "[209]", - "element-type": "209", + "name": "[213]", + "element-type": "213", "meta-type": "array" }, { - "name": "209", + "name": "213", "members": [ { "name": "device", @@ -16166,7 +16915,7 @@ }, { "name": "slot-type", - "type": "353" + "type": "362" }, { "name": "source", @@ -16180,17 +16929,17 @@ "meta-type": "object" }, { - "name": "210", + "name": "214", "members": [ { "name": "info", - "type": "209" + "type": "213" } ], "meta-type": "object" }, { - "name": "211", + "name": "215", "members": [ { "name": "filename", @@ -16200,7 +16949,7 @@ "meta-type": "object" }, { - "name": "212", + "name": "216", "members": [ { "name": "guid", @@ -16210,7 +16959,7 @@ "meta-type": "object" }, { - "name": "213", + "name": "217", "members": [ { "name": "offset", @@ -16225,7 +16974,7 @@ "meta-type": "builtin" }, { - "name": "219", + "name": "223", "meta-type": "enum", "values": [ "debug", @@ -16248,7 +16997,7 @@ ] }, { - "name": "220", + "name": "224", "meta-type": "enum", "values": [ "none", @@ -16264,7 +17013,7 @@ ] }, { - "name": "221", + "name": "225", "meta-type": "enum", "values": [ "reset", @@ -16277,60 +17026,42 @@ ] }, { - "name": "222", + "name": "226", "meta-type": "enum", "values": [ "pause", - "poweroff" + "poweroff", + "run" ] }, { - "name": "223", + "name": "227", "tag": "type", "variants": [ { "case": "hyper-v", - "type": "356" + "type": "365" }, { "case": "s390", - "type": "357" + "type": "366" } ], "members": [ { "name": "type", - "type": "355" + "type": "364" } ], "meta-type": "object" }, { - "name": "224", + "name": "228", "meta-type": "enum", "values": [ - "undefined", - "created", - "running", - "paused", - "ready", - "standby", - "waiting", - "pending", - "aborting", - "concluded", - "null" - ] - }, - { - "name": "225", - "meta-type": "enum", - "values": [ - "commit", - "stream", - "mirror", - "backup", - "create" + "retain", + "read-only", + "read-write" ] }, { @@ -16339,7 +17070,7 @@ "meta-type": "array" }, { - "name": "226", + "name": "229", "meta-type": "enum", "values": [ "ok", @@ -16348,12 +17079,12 @@ ] }, { - "name": "[227]", - "element-type": "227", + "name": "[230]", + "element-type": "230", "meta-type": "array" }, { - "name": "227", + "name": "230", "members": [ { "name": "name", @@ -16378,7 +17109,7 @@ }, { "name": "status", - "type": "358" + "type": "367" }, { "name": "persistent", @@ -16393,7 +17124,7 @@ "meta-type": "object" }, { - "name": "228", + "name": "231", "members": [ { "name": "rd_bytes", @@ -16403,6 +17134,10 @@ "name": "wr_bytes", "type": "int" }, + { + "name": "unmap_bytes", + "type": "int" + }, { "name": "rd_operations", "type": "int" @@ -16416,7 +17151,11 @@ "type": "int" }, { - "name": "flush_total_time_ns", + "name": "unmap_operations", + "type": "int" + }, + { + "name": "rd_total_time_ns", "type": "int" }, { @@ -16424,7 +17163,11 @@ "type": "int" }, { - "name": "rd_total_time_ns", + "name": "flush_total_time_ns", + "type": "int" + }, + { + "name": "unmap_total_time_ns", "type": "int" }, { @@ -16439,6 +17182,10 @@ "name": "wr_merged", "type": "int" }, + { + "name": "unmap_merged", + "type": "int" + }, { "name": "idle_time_ns", "default": null, @@ -16456,6 +17203,10 @@ "name": "failed_flush_operations", "type": "int" }, + { + "name": "failed_unmap_operations", + "type": "int" + }, { "name": "invalid_rd_operations", "type": "int" @@ -16468,6 +17219,10 @@ "name": "invalid_flush_operations", "type": "int" }, + { + "name": "invalid_unmap_operations", + "type": "int" + }, { "name": "account_invalid", "type": "bool" @@ -16478,28 +17233,222 @@ }, { "name": "timed_stats", - "type": "[359]" + "type": "[368]" }, { "name": "rd_latency_histogram", "default": null, - "type": "360" + "type": "369" }, { "name": "wr_latency_histogram", "default": null, - "type": "360" + "type": "369" }, { "name": "flush_latency_histogram", "default": null, - "type": "360" + "type": "369" } ], "meta-type": "object" }, { - "name": "229", + "name": "232", + "tag": "driver", + "variants": [ + { + "case": "file", + "type": "370" + }, + { + "case": "host_device", + "type": "370" + }, + { + "case": "blkdebug", + "type": "0" + }, + { + "case": "blklogwrites", + "type": "0" + }, + { + "case": "blkreplay", + "type": "0" + }, + { + "case": "blkverify", + "type": "0" + }, + { + "case": "bochs", + "type": "0" + }, + { + "case": "cloop", + "type": "0" + }, + { + "case": "compress", + "type": "0" + }, + { + "case": "copy-on-read", + "type": "0" + }, + { + "case": "dmg", + "type": "0" + }, + { + "case": "ftp", + "type": "0" + }, + { + "case": "ftps", + "type": "0" + }, + { + "case": "gluster", + "type": "0" + }, + { + "case": "host_cdrom", + "type": "0" + }, + { + "case": "http", + "type": "0" + }, + { + "case": "https", + "type": "0" + }, + { + "case": "iscsi", + "type": "0" + }, + { + "case": "luks", + "type": "0" + }, + { + "case": "nbd", + "type": "0" + }, + { + "case": "nfs", + "type": "0" + }, + { + "case": "null-aio", + "type": "0" + }, + { + "case": "null-co", + "type": "0" + }, + { + "case": "nvme", + "type": "0" + }, + { + "case": "parallels", + "type": "0" + }, + { + "case": "qcow", + "type": "0" + }, + { + "case": "qcow2", + "type": "0" + }, + { + "case": "qed", + "type": "0" + }, + { + "case": "quorum", + "type": "0" + }, + { + "case": "raw", + "type": "0" + }, + { + "case": "rbd", + "type": "0" + }, + { + "case": "replication", + "type": "0" + }, + { + "case": "sheepdog", + "type": "0" + }, + { + "case": "ssh", + "type": "0" + }, + { + "case": "throttle", + "type": "0" + }, + { + "case": "vdi", + "type": "0" + }, + { + "case": "vhdx", + "type": "0" + }, + { + "case": "vmdk", + "type": "0" + }, + { + "case": "vpc", + "type": "0" + }, + { + "case": "vvfat", + "type": "0" + }, + { + "case": "vxhs", + "type": "0" + } + ], + "members": [ + { + "name": "driver", + "type": "245" + } + ], + "meta-type": "object" + }, + { + "name": "233", + "meta-type": "enum", + "values": [ + "undefined", + "created", + "running", + "paused", + "ready", + "standby", + "waiting", + "pending", + "aborting", + "concluded", + "null" + ] + }, + { + "name": "234", "meta-type": "enum", "values": [ "existing", @@ -16507,27 +17456,7 @@ ] }, { - "name": "230", - "meta-type": "enum", - "values": [ - "top", - "full", - "none", - "incremental", - "bitmap" - ] - }, - { - "name": "231", - "meta-type": "enum", - "values": [ - "on-success", - "never", - "always" - ] - }, - { - "name": "232", + "name": "235", "meta-type": "enum", "values": [ "report", @@ -16538,7 +17467,27 @@ ] }, { - "name": "233", + "name": "236", + "meta-type": "enum", + "values": [ + "top", + "full", + "none", + "incremental", + "bitmap" + ] + }, + { + "name": "237", + "meta-type": "enum", + "values": [ + "on-success", + "never", + "always" + ] + }, + { + "name": "238", "meta-type": "enum", "values": [ "off", @@ -16547,7 +17496,7 @@ ] }, { - "name": "234", + "name": "239", "members": [ { "name": "filename", @@ -16604,23 +17553,23 @@ { "name": "snapshots", "default": null, - "type": "[67]" + "type": "[69]" }, { "name": "backing-image", "default": null, - "type": "234" + "type": "239" }, { "name": "format-specific", "default": null, - "type": "361" + "type": "371" } ], "meta-type": "object" }, { - "name": "235", + "name": "240", "members": [ { "name": "writeback", @@ -16638,12 +17587,12 @@ "meta-type": "object" }, { - "name": "[236]", - "element-type": "236", + "name": "[241]", + "element-type": "241", "meta-type": "array" }, { - "name": "236", + "name": "241", "members": [ { "name": "id", @@ -16651,7 +17600,7 @@ }, { "name": "type", - "type": "362" + "type": "372" }, { "name": "name", @@ -16661,12 +17610,12 @@ "meta-type": "object" }, { - "name": "[237]", - "element-type": "237", + "name": "[242]", + "element-type": "242", "meta-type": "array" }, { - "name": "237", + "name": "242", "members": [ { "name": "parent", @@ -16682,17 +17631,17 @@ }, { "name": "perm", - "type": "[363]" + "type": "[373]" }, { "name": "shared-perm", - "type": "[363]" + "type": "[373]" } ], "meta-type": "object" }, { - "name": "238", + "name": "243", "meta-type": "enum", "values": [ "background", @@ -16700,31 +17649,33 @@ ] }, { - "name": "[239]", - "element-type": "239", + "name": "[244]", + "element-type": "244", "meta-type": "array" }, { - "name": "239", + "name": "244", "members": [ { "type": "str" }, { - "type": "32" + "type": "36" } ], "meta-type": "alternate" }, { - "name": "240", + "name": "245", "meta-type": "enum", "values": [ "blkdebug", "blklogwrites", + "blkreplay", "blkverify", "bochs", "cloop", + "compress", "copy-on-read", "dmg", "file", @@ -16762,7 +17713,7 @@ ] }, { - "name": "241", + "name": "246", "meta-type": "enum", "values": [ "ignore", @@ -16770,7 +17721,7 @@ ] }, { - "name": "242", + "name": "247", "members": [ { "name": "direct", @@ -16786,11 +17737,11 @@ "meta-type": "object" }, { - "name": "243", + "name": "248", "members": [ { "name": "image", - "type": "364" + "type": "374" }, { "name": "config", @@ -16830,26 +17781,36 @@ { "name": "inject-error", "default": null, - "type": "[365]" + "type": "[375]" }, { "name": "set-state", "default": null, - "type": "[366]" + "type": "[376]" + }, + { + "name": "take-child-perms", + "default": null, + "type": "[373]" + }, + { + "name": "unshare-child-perms", + "default": null, + "type": "[373]" } ], "meta-type": "object" }, { - "name": "244", + "name": "249", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "log", - "type": "364" + "type": "374" }, { "name": "log-sector-size", @@ -16870,31 +17831,41 @@ "meta-type": "object" }, { - "name": "245", + "name": "250", "members": [ { "name": "test", - "type": "364" + "type": "374" }, { "name": "raw", - "type": "364" + "type": "374" } ], "meta-type": "object" }, { - "name": "246", + "name": "251", + "members": [ + { + "name": "image", + "type": "374" + } + ], + "meta-type": "object" + }, + { + "name": "252", "members": [ { "name": "file", - "type": "364" + "type": "374" } ], "meta-type": "object" }, { - "name": "247", + "name": "253", "members": [ { "name": "filename", @@ -16908,12 +17879,12 @@ { "name": "locking", "default": null, - "type": "367" + "type": "377" }, { "name": "aio", "default": null, - "type": "368" + "type": "378" }, { "name": "drop-cache", @@ -16932,7 +17903,7 @@ ] }, { - "name": "248", + "name": "254", "members": [ { "name": "url", @@ -16972,7 +17943,7 @@ "meta-type": "object" }, { - "name": "249", + "name": "255", "members": [ { "name": "url", @@ -17017,7 +17988,7 @@ "meta-type": "object" }, { - "name": "250", + "name": "256", "members": [ { "name": "volume", @@ -17029,7 +18000,7 @@ }, { "name": "server", - "type": "[312]" + "type": "[318]" }, { "name": "debug", @@ -17045,7 +18016,7 @@ "meta-type": "object" }, { - "name": "251", + "name": "257", "members": [ { "name": "url", @@ -17095,7 +18066,7 @@ "meta-type": "object" }, { - "name": "252", + "name": "258", "members": [ { "name": "url", @@ -17150,11 +18121,11 @@ "meta-type": "object" }, { - "name": "253", + "name": "259", "members": [ { "name": "transport", - "type": "369" + "type": "379" }, { "name": "portal", @@ -17187,7 +18158,7 @@ { "name": "header-digest", "default": null, - "type": "370" + "type": "380" }, { "name": "timeout", @@ -17198,11 +18169,11 @@ "meta-type": "object" }, { - "name": "254", + "name": "260", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "key-secret", @@ -17213,11 +18184,11 @@ "meta-type": "object" }, { - "name": "255", + "name": "261", "members": [ { "name": "server", - "type": "312" + "type": "318" }, { "name": "export", @@ -17243,11 +18214,11 @@ "meta-type": "object" }, { - "name": "256", + "name": "262", "members": [ { "name": "server", - "type": "371" + "type": "381" }, { "name": "path", @@ -17287,7 +18258,7 @@ "meta-type": "object" }, { - "name": "257", + "name": "263", "members": [ { "name": "size", @@ -17308,7 +18279,7 @@ "meta-type": "object" }, { - "name": "258", + "name": "264", "members": [ { "name": "device", @@ -17322,16 +18293,16 @@ "meta-type": "object" }, { - "name": "259", + "name": "265", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "backing", "default": null, - "type": "372" + "type": "382" }, { "name": "lazy-refcounts", @@ -17356,7 +18327,7 @@ { "name": "overlap-check", "default": null, - "type": "373" + "type": "383" }, { "name": "cache-size", @@ -17386,53 +18357,53 @@ { "name": "encrypt", "default": null, - "type": "374" + "type": "384" }, { "name": "data-file", "default": null, - "type": "364" + "type": "374" } ], "meta-type": "object" }, { - "name": "260", + "name": "266", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "backing", "default": null, - "type": "372" + "type": "382" }, { "name": "encrypt", "default": null, - "type": "375" + "type": "385" } ], "meta-type": "object" }, { - "name": "261", + "name": "267", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "backing", "default": null, - "type": "372" + "type": "382" } ], "meta-type": "object" }, { - "name": "262", + "name": "268", "members": [ { "name": "blkverify", @@ -17441,7 +18412,7 @@ }, { "name": "children", - "type": "[364]" + "type": "[374]" }, { "name": "vote-threshold", @@ -17455,17 +18426,17 @@ { "name": "read-pattern", "default": null, - "type": "376" + "type": "386" } ], "meta-type": "object" }, { - "name": "263", + "name": "269", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "offset", @@ -17481,12 +18452,17 @@ "meta-type": "object" }, { - "name": "264", + "name": "270", "members": [ { "name": "pool", "type": "str" }, + { + "name": "namespace", + "default": null, + "type": "str" + }, { "name": "image", "type": "str" @@ -17509,7 +18485,7 @@ { "name": "auth-client-required", "default": null, - "type": "[377]" + "type": "[387]" }, { "name": "key-secret", @@ -17519,21 +18495,21 @@ { "name": "server", "default": null, - "type": "[378]" + "type": "[388]" } ], "meta-type": "object" }, { - "name": "265", + "name": "271", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "mode", - "type": "379" + "type": "389" }, { "name": "top-id", @@ -17544,11 +18520,11 @@ "meta-type": "object" }, { - "name": "266", + "name": "272", "members": [ { "name": "server", - "type": "312" + "type": "318" }, { "name": "vdi", @@ -17568,11 +18544,11 @@ "meta-type": "object" }, { - "name": "267", + "name": "273", "members": [ { "name": "server", - "type": "380" + "type": "390" }, { "name": "path", @@ -17586,13 +18562,13 @@ { "name": "host-key-check", "default": null, - "type": "381" + "type": "391" } ], "meta-type": "object" }, { - "name": "268", + "name": "274", "members": [ { "name": "throttle-group", @@ -17600,13 +18576,13 @@ }, { "name": "file", - "type": "364" + "type": "374" } ], "meta-type": "object" }, { - "name": "269", + "name": "275", "members": [ { "name": "dir", @@ -17636,7 +18612,7 @@ "meta-type": "object" }, { - "name": "270", + "name": "276", "members": [ { "name": "vdisk-id", @@ -17644,7 +18620,7 @@ }, { "name": "server", - "type": "378" + "type": "388" }, { "name": "tls-creds", @@ -17655,69 +18631,69 @@ "meta-type": "object" }, { - "name": "271", + "name": "277", "tag": "driver", "variants": [ { "case": "file", - "type": "382" - }, - { - "case": "gluster", - "type": "383" - }, - { - "case": "luks", - "type": "384" - }, - { - "case": "nfs", - "type": "385" - }, - { - "case": "parallels", - "type": "386" - }, - { - "case": "qcow", - "type": "387" - }, - { - "case": "qcow2", - "type": "388" - }, - { - "case": "qed", - "type": "389" - }, - { - "case": "rbd", - "type": "390" - }, - { - "case": "sheepdog", - "type": "391" - }, - { - "case": "ssh", "type": "392" }, { - "case": "vdi", + "case": "gluster", "type": "393" }, { - "case": "vhdx", + "case": "luks", "type": "394" }, { - "case": "vmdk", + "case": "nfs", "type": "395" }, { - "case": "vpc", + "case": "parallels", "type": "396" }, + { + "case": "qcow", + "type": "397" + }, + { + "case": "qcow2", + "type": "398" + }, + { + "case": "qed", + "type": "399" + }, + { + "case": "rbd", + "type": "400" + }, + { + "case": "sheepdog", + "type": "401" + }, + { + "case": "ssh", + "type": "402" + }, + { + "case": "vdi", + "type": "403" + }, + { + "case": "vhdx", + "type": "404" + }, + { + "case": "vmdk", + "type": "405" + }, + { + "case": "vpc", + "type": "406" + }, { "case": "blkdebug", "type": "0" @@ -17726,6 +18702,10 @@ "case": "blklogwrites", "type": "0" }, + { + "case": "blkreplay", + "type": "0" + }, { "case": "blkverify", "type": "0" @@ -17738,6 +18718,10 @@ "case": "cloop", "type": "0" }, + { + "case": "compress", + "type": "0" + }, { "case": "copy-on-read", "type": "0" @@ -17818,22 +18802,13 @@ "members": [ { "name": "driver", - "type": "240" + "type": "245" } ], "meta-type": "object" }, { - "name": "272", - "meta-type": "enum", - "values": [ - "retain", - "read-only", - "read-write" - ] - }, - { - "name": "273", + "name": "278", "meta-type": "enum", "values": [ "read", @@ -17841,7 +18816,7 @@ ] }, { - "name": "274", + "name": "279", "meta-type": "enum", "values": [ "ignore", @@ -17850,7 +18825,18 @@ ] }, { - "name": "275", + "name": "280", + "meta-type": "enum", + "values": [ + "commit", + "stream", + "mirror", + "backup", + "create" + ] + }, + { + "name": "281", "members": [ { "type": "str" @@ -17862,36 +18848,36 @@ "meta-type": "alternate" }, { - "name": "276", + "name": "282", "tag": "type", "variants": [ { "case": "inet", - "type": "398" + "type": "408" }, { "case": "unix", - "type": "399" + "type": "409" }, { "case": "vsock", - "type": "400" + "type": "410" }, { "case": "fd", - "type": "401" + "type": "411" } ], "members": [ { "name": "type", - "type": "397" + "type": "407" } ], "meta-type": "object" }, { - "name": "277", + "name": "283", "meta-type": "enum", "values": [ "safe", @@ -17899,7 +18885,7 @@ ] }, { - "name": "278", + "name": "284", "meta-type": "enum", "values": [ "read", @@ -17908,7 +18894,7 @@ ] }, { - "name": "279", + "name": "285", "meta-type": "enum", "values": [ "utf8", @@ -17916,92 +18902,92 @@ ] }, { - "name": "280", + "name": "286", "tag": "type", "variants": [ { "case": "file", - "type": "403" + "type": "413" }, { "case": "serial", - "type": "404" + "type": "414" }, { "case": "parallel", - "type": "404" + "type": "414" }, { "case": "pipe", - "type": "404" + "type": "414" }, { "case": "socket", - "type": "405" + "type": "415" }, { "case": "udp", - "type": "406" + "type": "416" }, { "case": "pty", - "type": "407" + "type": "417" }, { "case": "null", - "type": "407" + "type": "417" }, { "case": "mux", - "type": "408" + "type": "418" }, { "case": "msmouse", - "type": "407" + "type": "417" }, { "case": "wctablet", - "type": "407" + "type": "417" }, { "case": "braille", - "type": "407" + "type": "417" }, { "case": "testdev", - "type": "407" + "type": "417" }, { "case": "stdio", - "type": "409" + "type": "419" }, { "case": "console", - "type": "407" + "type": "417" }, { "case": "vc", - "type": "412" + "type": "422" }, { "case": "ringbuf", - "type": "413" + "type": "423" }, { "case": "memory", - "type": "413" + "type": "423" } ], "members": [ { "name": "type", - "type": "402" + "type": "412" } ], "meta-type": "object" }, { - "name": "281", + "name": "287", "meta-type": "enum", "values": [ "elf", @@ -18012,7 +18998,7 @@ ] }, { - "name": "282", + "name": "288", "meta-type": "enum", "values": [ "none", @@ -18022,12 +19008,12 @@ ] }, { - "name": "[281]", - "element-type": "281", + "name": "[287]", + "element-type": "287", "meta-type": "array" }, { - "name": "283", + "name": "289", "meta-type": "enum", "values": [ "normal", @@ -18041,7 +19027,7 @@ "meta-type": "array" }, { - "name": "284", + "name": "290", "meta-type": "enum", "values": [ "half", @@ -18049,7 +19035,7 @@ ] }, { - "name": "285", + "name": "291", "meta-type": "enum", "values": [ "off", @@ -18057,7 +19043,7 @@ ] }, { - "name": "286", + "name": "292", "members": [ { "name": "priority", @@ -18116,7 +19102,7 @@ "meta-type": "object" }, { - "name": "287", + "name": "293", "members": [ { "name": "in-pport", @@ -18157,7 +19143,7 @@ "meta-type": "object" }, { - "name": "288", + "name": "294", "members": [ { "name": "goto-tbl", @@ -18193,28 +19179,28 @@ "meta-type": "object" }, { - "name": "289", + "name": "295", "tag": "type", "variants": [ { "case": "passthrough", - "type": "415" + "type": "425" }, { "case": "emulator", - "type": "416" + "type": "426" } ], "members": [ { "name": "type", - "type": "414" + "type": "424" } ], "meta-type": "object" }, { - "name": "294", + "name": "300", "meta-type": "enum", "values": [ "ipv4", @@ -18225,12 +19211,12 @@ ] }, { - "name": "[295]", - "element-type": "295", + "name": "[301]", + "element-type": "301", "meta-type": "array" }, { - "name": "295", + "name": "301", "members": [ { "name": "host", @@ -18242,7 +19228,7 @@ }, { "name": "family", - "type": "294" + "type": "300" }, { "name": "websocket", @@ -18262,12 +19248,12 @@ "meta-type": "object" }, { - "name": "[296]", - "element-type": "296", + "name": "[302]", + "element-type": "302", "meta-type": "array" }, { - "name": "296", + "name": "302", "members": [ { "name": "host", @@ -18279,7 +19265,7 @@ }, { "name": "family", - "type": "294" + "type": "300" }, { "name": "websocket", @@ -18287,18 +19273,18 @@ }, { "name": "auth", - "type": "297" + "type": "303" }, { "name": "vencrypt", "default": null, - "type": "298" + "type": "304" } ], "meta-type": "object" }, { - "name": "297", + "name": "303", "meta-type": "enum", "values": [ "none", @@ -18313,7 +19299,7 @@ ] }, { - "name": "298", + "name": "304", "meta-type": "enum", "values": [ "plain", @@ -18328,7 +19314,7 @@ ] }, { - "name": "299", + "name": "305", "members": [ { "name": "host", @@ -18340,7 +19326,7 @@ }, { "name": "family", - "type": "294" + "type": "300" }, { "name": "websocket", @@ -18355,7 +19341,7 @@ "meta-type": "object" }, { - "name": "300", + "name": "306", "members": [ { "name": "host", @@ -18367,7 +19353,7 @@ }, { "name": "family", - "type": "294" + "type": "300" }, { "name": "websocket", @@ -18377,67 +19363,67 @@ "meta-type": "object" }, { - "name": "[301]", - "element-type": "301", + "name": "[307]", + "element-type": "307", "meta-type": "array" }, { - "name": "301", + "name": "307", "tag": "type", "variants": [ { "case": "number", - "type": "418" + "type": "428" }, { "case": "qcode", - "type": "419" + "type": "429" } ], "members": [ { "name": "type", - "type": "417" + "type": "427" } ], "meta-type": "object" }, { - "name": "[302]", - "element-type": "302", + "name": "[308]", + "element-type": "308", "meta-type": "array" }, { - "name": "302", + "name": "308", "tag": "type", "variants": [ { "case": "key", - "type": "421" + "type": "431" }, { "case": "btn", - "type": "422" + "type": "432" }, { "case": "rel", - "type": "423" + "type": "433" }, { "case": "abs", - "type": "423" + "type": "433" } ], "members": [ { "name": "type", - "type": "420" + "type": "430" } ], "meta-type": "object" }, { - "name": "303", + "name": "309", "meta-type": "enum", "values": [ "default", @@ -18451,7 +19437,7 @@ ] }, { - "name": "304", + "name": "310", "meta-type": "enum", "values": [ "off", @@ -18461,7 +19447,7 @@ ] }, { - "name": "305", + "name": "311", "members": [ { "name": "grab-on-hover", @@ -18477,7 +19463,7 @@ "meta-type": "object" }, { - "name": "306", + "name": "312", "members": [ { "name": "charset", @@ -18488,7 +19474,7 @@ "meta-type": "object" }, { - "name": "307", + "name": "313", "members": [ { "name": "rendernode", @@ -18499,7 +19485,7 @@ "meta-type": "object" }, { - "name": "308", + "name": "314", "meta-type": "enum", "values": [ "none", @@ -18514,11 +19500,12 @@ "failed", "colo", "pre-switchover", - "device" + "device", + "wait-unplug" ] }, { - "name": "309", + "name": "315", "members": [ { "name": "transferred", @@ -18580,7 +19567,7 @@ "meta-type": "object" }, { - "name": "310", + "name": "316", "members": [ { "name": "cache-size", @@ -18610,7 +19597,7 @@ "meta-type": "object" }, { - "name": "311", + "name": "317", "members": [ { "name": "pages", @@ -18636,41 +19623,41 @@ "meta-type": "object" }, { - "name": "[312]", - "element-type": "312", + "name": "[318]", + "element-type": "318", "meta-type": "array" }, { - "name": "312", + "name": "318", "tag": "type", "variants": [ { "case": "inet", - "type": "380" + "type": "390" }, { "case": "unix", - "type": "425" + "type": "435" }, { "case": "vsock", - "type": "426" + "type": "436" }, { "case": "fd", - "type": "427" + "type": "437" } ], "members": [ { "name": "type", - "type": "424" + "type": "434" } ], "meta-type": "object" }, { - "name": "313", + "name": "319", "meta-type": "enum", "values": [ "xbzrle", @@ -18694,7 +19681,15 @@ ] }, { - "name": "314", + "name": "320", + "meta-type": "enum", + "values": [ + "none", + "zlib" + ] + }, + { + "name": "321", "meta-type": "enum", "values": [ "none", @@ -18703,7 +19698,7 @@ ] }, { - "name": "315", + "name": "322", "meta-type": "enum", "values": [ "none", @@ -18718,84 +19713,84 @@ "meta-type": "builtin" }, { - "name": "[316]", - "element-type": "316", + "name": "[323]", + "element-type": "323", "meta-type": "array" }, { - "name": "316", + "name": "323", "tag": "type", "variants": [ { "case": "abort", - "type": "429" + "type": "439" }, { "case": "block-dirty-bitmap-add", - "type": "430" + "type": "440" }, { "case": "block-dirty-bitmap-remove", - "type": "431" + "type": "441" }, { "case": "block-dirty-bitmap-clear", - "type": "431" + "type": "441" }, { "case": "block-dirty-bitmap-enable", - "type": "431" + "type": "441" }, { "case": "block-dirty-bitmap-disable", - "type": "431" + "type": "441" }, { "case": "block-dirty-bitmap-merge", - "type": "432" + "type": "442" }, { "case": "blockdev-backup", - "type": "433" + "type": "443" }, { "case": "blockdev-snapshot", - "type": "434" + "type": "444" }, { "case": "blockdev-snapshot-internal-sync", - "type": "435" + "type": "445" }, { "case": "blockdev-snapshot-sync", - "type": "436" + "type": "446" }, { "case": "drive-backup", - "type": "437" + "type": "447" } ], "members": [ { "name": "type", - "type": "428" - } - ], - "meta-type": "object" - }, - { - "name": "317", - "members": [ - { - "name": "completion-mode", - "default": null, "type": "438" } ], "meta-type": "object" }, { - "name": "318", + "name": "324", + "members": [ + { + "name": "completion-mode", + "default": null, + "type": "448" + } + ], + "meta-type": "object" + }, + { + "name": "325", "meta-type": "enum", "values": [ "unavailable", @@ -18804,7 +19799,37 @@ ] }, { - "name": "319", + "name": "[326]", + "element-type": "326", + "meta-type": "array" + }, + { + "name": "326", + "meta-type": "enum", + "values": [ + "oob" + ] + }, + { + "name": "327", + "members": [ + { + "name": "major", + "type": "int" + }, + { + "name": "minor", + "type": "int" + }, + { + "name": "micro", + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "328", "meta-type": "enum", "values": [ "builtin", @@ -18817,17 +19842,17 @@ ] }, { - "name": "320", + "name": "329", "members": [ { "name": "json-type", - "type": "439" + "type": "449" } ], "meta-type": "object" }, { - "name": "321", + "name": "330", "members": [ { "name": "values", @@ -18837,7 +19862,7 @@ "meta-type": "object" }, { - "name": "322", + "name": "331", "members": [ { "name": "element-type", @@ -18847,11 +19872,11 @@ "meta-type": "object" }, { - "name": "323", + "name": "332", "members": [ { "name": "members", - "type": "[440]" + "type": "[450]" }, { "name": "tag", @@ -18861,7 +19886,7 @@ { "name": "variants", "default": null, - "type": "[441]" + "type": "[451]" }, { "name": "features", @@ -18872,17 +19897,17 @@ "meta-type": "object" }, { - "name": "324", + "name": "333", "members": [ { "name": "members", - "type": "[442]" + "type": "[452]" } ], "meta-type": "object" }, { - "name": "325", + "name": "334", "members": [ { "name": "arg-type", @@ -18896,12 +19921,17 @@ "name": "allow-oob", "default": null, "type": "bool" + }, + { + "name": "features", + "default": null, + "type": "[str]" } ], "meta-type": "object" }, { - "name": "326", + "name": "335", "members": [ { "name": "arg-type", @@ -18911,7 +19941,7 @@ "meta-type": "object" }, { - "name": "327", + "name": "336", "members": [ { "name": "node-id", @@ -18942,7 +19972,7 @@ "meta-type": "object" }, { - "name": "328", + "name": "337", "meta-type": "enum", "values": [ "x86", @@ -18956,7 +19986,7 @@ ] }, { - "name": "329", + "name": "338", "members": [ { "name": "pc", @@ -18966,7 +19996,7 @@ "meta-type": "object" }, { - "name": "330", + "name": "339", "members": [ { "name": "pc", @@ -18980,7 +20010,7 @@ "meta-type": "object" }, { - "name": "331", + "name": "340", "members": [ { "name": "nip", @@ -18990,7 +20020,7 @@ "meta-type": "object" }, { - "name": "332", + "name": "341", "members": [ { "name": "PC", @@ -19000,7 +20030,7 @@ "meta-type": "object" }, { - "name": "333", + "name": "342", "members": [ { "name": "PC", @@ -19010,17 +20040,17 @@ "meta-type": "object" }, { - "name": "334", + "name": "343", "members": [ { "name": "cpu-state", - "type": "443" + "type": "453" } ], "meta-type": "object" }, { - "name": "335", + "name": "344", "members": [ { "name": "pc", @@ -19030,7 +20060,7 @@ "meta-type": "object" }, { - "name": "336", + "name": "345", "meta-type": "enum", "values": [ "aarch64", @@ -19067,7 +20097,7 @@ ] }, { - "name": "337", + "name": "346", "meta-type": "enum", "values": [ "default", @@ -19077,16 +20107,18 @@ ] }, { - "name": "338", + "name": "347", "meta-type": "enum", "values": [ "node", "dist", - "cpu" + "cpu", + "hmat-lb", + "hmat-cache" ] }, { - "name": "339", + "name": "348", "members": [ { "name": "nodeid", @@ -19107,12 +20139,17 @@ "name": "memdev", "default": null, "type": "str" + }, + { + "name": "initiator", + "default": null, + "type": "int" } ], "meta-type": "object" }, { - "name": "340", + "name": "349", "members": [ { "name": "src", @@ -19130,7 +20167,7 @@ "meta-type": "object" }, { - "name": "341", + "name": "350", "members": [ { "name": "node-id", @@ -19161,7 +20198,69 @@ "meta-type": "object" }, { - "name": "342", + "name": "351", + "members": [ + { + "name": "initiator", + "type": "int" + }, + { + "name": "target", + "type": "int" + }, + { + "name": "hierarchy", + "type": "454" + }, + { + "name": "data-type", + "type": "455" + }, + { + "name": "latency", + "default": null, + "type": "int" + }, + { + "name": "bandwidth", + "default": null, + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "352", + "members": [ + { + "name": "node-id", + "type": "int" + }, + { + "name": "size", + "type": "int" + }, + { + "name": "level", + "type": "int" + }, + { + "name": "associativity", + "type": "456" + }, + { + "name": "policy", + "type": "457" + }, + { + "name": "line", + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "353", "members": [ { "name": "name", @@ -19176,7 +20275,7 @@ "meta-type": "object" }, { - "name": "343", + "name": "354", "meta-type": "enum", "values": [ "incompatible", @@ -19186,7 +20285,7 @@ ] }, { - "name": "344", + "name": "355", "meta-type": "enum", "values": [ "static", @@ -19194,42 +20293,12 @@ ] }, { - "name": "[345]", - "element-type": "345", + "name": "[356]", + "element-type": "356", "meta-type": "array" }, { - "name": "345", - "meta-type": "enum", - "values": [ - "oob" - ] - }, - { - "name": "346", - "members": [ - { - "name": "major", - "type": "int" - }, - { - "name": "minor", - "type": "int" - }, - { - "name": "micro", - "type": "int" - } - ], - "meta-type": "object" - }, - { - "name": "[347]", - "element-type": "347", - "meta-type": "array" - }, - { - "name": "347", + "name": "356", "members": [ { "name": "bus", @@ -19245,11 +20314,11 @@ }, { "name": "class_info", - "type": "444" + "type": "458" }, { "name": "id", - "type": "445" + "type": "459" }, { "name": "irq", @@ -19263,22 +20332,22 @@ { "name": "pci_bridge", "default": null, - "type": "446" + "type": "460" }, { "name": "regions", - "type": "[447]" + "type": "[461]" } ], "meta-type": "object" }, { - "name": "[348]", - "element-type": "348", + "name": "[357]", + "element-type": "357", "meta-type": "array" }, { - "name": "348", + "name": "357", "members": [ { "name": "fd", @@ -19293,12 +20362,12 @@ "meta-type": "object" }, { - "name": "[349]", - "element-type": "349", + "name": "[358]", + "element-type": "358", "meta-type": "array" }, { - "name": "349", + "name": "358", "members": [ { "name": "name", @@ -19306,7 +20375,7 @@ }, { "name": "type", - "type": "448" + "type": "462" }, { "name": "help", @@ -19322,7 +20391,7 @@ "meta-type": "object" }, { - "name": "350", + "name": "359", "meta-type": "enum", "values": [ "dimm", @@ -19331,27 +20400,27 @@ ] }, { - "name": "351", + "name": "360", "members": [ { "name": "data", - "type": "449" + "type": "463" } ], "meta-type": "object" }, { - "name": "352", + "name": "361", "members": [ { "name": "data", - "type": "450" + "type": "464" } ], "meta-type": "object" }, { - "name": "353", + "name": "362", "meta-type": "enum", "values": [ "DIMM", @@ -19359,7 +20428,7 @@ ] }, { - "name": "355", + "name": "364", "meta-type": "enum", "values": [ "hyper-v", @@ -19367,7 +20436,7 @@ ] }, { - "name": "356", + "name": "365", "members": [ { "name": "arg1", @@ -19393,7 +20462,7 @@ "meta-type": "object" }, { - "name": "357", + "name": "366", "members": [ { "name": "core", @@ -19409,13 +20478,13 @@ }, { "name": "reason", - "type": "451" + "type": "465" } ], "meta-type": "object" }, { - "name": "358", + "name": "367", "meta-type": "enum", "values": [ "active", @@ -19426,12 +20495,12 @@ ] }, { - "name": "[359]", - "element-type": "359", + "name": "[368]", + "element-type": "368", "meta-type": "array" }, { - "name": "359", + "name": "368", "members": [ { "name": "interval_length", @@ -19485,7 +20554,7 @@ "meta-type": "object" }, { - "name": "360", + "name": "369", "members": [ { "name": "boundaries", @@ -19499,37 +20568,55 @@ "meta-type": "object" }, { - "name": "[67]", - "element-type": "67", - "meta-type": "array" - }, - { - "name": "361", - "tag": "type", - "variants": [ - { - "case": "qcow2", - "type": "453" - }, - { - "case": "vmdk", - "type": "454" - }, - { - "case": "luks", - "type": "455" - } - ], + "name": "370", "members": [ { - "name": "type", - "type": "452" + "name": "discard-nb-ok", + "type": "int" + }, + { + "name": "discard-nb-failed", + "type": "int" + }, + { + "name": "discard-bytes-ok", + "type": "int" } ], "meta-type": "object" }, { - "name": "362", + "name": "[69]", + "element-type": "69", + "meta-type": "array" + }, + { + "name": "371", + "tag": "type", + "variants": [ + { + "case": "qcow2", + "type": "467" + }, + { + "case": "vmdk", + "type": "468" + }, + { + "case": "luks", + "type": "469" + } + ], + "members": [ + { + "name": "type", + "type": "466" + } + ], + "meta-type": "object" + }, + { + "name": "372", "meta-type": "enum", "values": [ "block-backend", @@ -19538,12 +20625,12 @@ ] }, { - "name": "[363]", - "element-type": "363", + "name": "[373]", + "element-type": "373", "meta-type": "array" }, { - "name": "363", + "name": "373", "meta-type": "enum", "values": [ "consistent-read", @@ -19554,10 +20641,10 @@ ] }, { - "name": "364", + "name": "374", "members": [ { - "type": "45" + "type": "48" }, { "type": "str" @@ -19566,16 +20653,16 @@ "meta-type": "alternate" }, { - "name": "[365]", - "element-type": "365", + "name": "[375]", + "element-type": "375", "meta-type": "array" }, { - "name": "365", + "name": "375", "members": [ { "name": "event", - "type": "456" + "type": "470" }, { "name": "state", @@ -19585,7 +20672,7 @@ { "name": "iotype", "default": null, - "type": "457" + "type": "471" }, { "name": "errno", @@ -19611,16 +20698,16 @@ "meta-type": "object" }, { - "name": "[366]", - "element-type": "366", + "name": "[376]", + "element-type": "376", "meta-type": "array" }, { - "name": "366", + "name": "376", "members": [ { "name": "event", - "type": "456" + "type": "470" }, { "name": "state", @@ -19635,7 +20722,7 @@ "meta-type": "object" }, { - "name": "367", + "name": "377", "meta-type": "enum", "values": [ "auto", @@ -19644,7 +20731,7 @@ ] }, { - "name": "368", + "name": "378", "meta-type": "enum", "values": [ "threads", @@ -19652,7 +20739,7 @@ ] }, { - "name": "369", + "name": "379", "meta-type": "enum", "values": [ "tcp", @@ -19660,7 +20747,7 @@ ] }, { - "name": "370", + "name": "380", "meta-type": "enum", "values": [ "crc32c", @@ -19670,11 +20757,11 @@ ] }, { - "name": "371", + "name": "381", "members": [ { "name": "type", - "type": "458" + "type": "472" }, { "name": "host", @@ -19684,10 +20771,10 @@ "meta-type": "object" }, { - "name": "372", + "name": "382", "members": [ { - "type": "45" + "type": "48" }, { "type": "str" @@ -19699,62 +20786,62 @@ "meta-type": "alternate" }, { - "name": "373", + "name": "383", "members": [ { - "type": "459" + "type": "473" }, { - "type": "460" + "type": "474" } ], "meta-type": "alternate" }, { - "name": "374", + "name": "384", "tag": "format", "variants": [ { "case": "aes", - "type": "462" + "type": "476" }, { "case": "luks", - "type": "463" + "type": "477" } ], "members": [ { "name": "format", - "type": "461" + "type": "475" } ], "meta-type": "object" }, { - "name": "375", + "name": "385", "tag": "format", "variants": [ { "case": "aes", - "type": "462" + "type": "476" } ], "members": [ { "name": "format", - "type": "464" + "type": "478" } ], "meta-type": "object" }, { - "name": "[364]", - "element-type": "364", + "name": "[374]", + "element-type": "374", "meta-type": "array" }, { - "name": "376", + "name": "386", "meta-type": "enum", "values": [ "quorum", @@ -19762,12 +20849,12 @@ ] }, { - "name": "[377]", - "element-type": "377", + "name": "[387]", + "element-type": "387", "meta-type": "array" }, { - "name": "377", + "name": "387", "meta-type": "enum", "values": [ "cephx", @@ -19775,12 +20862,12 @@ ] }, { - "name": "[378]", - "element-type": "378", + "name": "[388]", + "element-type": "388", "meta-type": "array" }, { - "name": "378", + "name": "388", "members": [ { "name": "host", @@ -19794,7 +20881,7 @@ "meta-type": "object" }, { - "name": "379", + "name": "389", "meta-type": "enum", "values": [ "primary", @@ -19802,7 +20889,7 @@ ] }, { - "name": "380", + "name": "390", "members": [ { "name": "host", @@ -19841,12 +20928,12 @@ "meta-type": "object" }, { - "name": "381", + "name": "391", "tag": "mode", "variants": [ { "case": "hash", - "type": "466" + "type": "480" }, { "case": "none", @@ -19860,13 +20947,13 @@ "members": [ { "name": "mode", - "type": "465" + "type": "479" } ], "meta-type": "object" }, { - "name": "382", + "name": "392", "members": [ { "name": "filename", @@ -19879,7 +20966,7 @@ { "name": "preallocation", "default": null, - "type": "467" + "type": "481" }, { "name": "nocow", @@ -19890,11 +20977,11 @@ "meta-type": "object" }, { - "name": "383", + "name": "393", "members": [ { "name": "location", - "type": "250" + "type": "256" }, { "name": "size", @@ -19903,13 +20990,13 @@ { "name": "preallocation", "default": null, - "type": "467" + "type": "481" } ], "meta-type": "object" }, { - "name": "384", + "name": "394", "members": [ { "name": "key-secret", @@ -19919,27 +21006,27 @@ { "name": "cipher-alg", "default": null, - "type": "468" + "type": "482" }, { "name": "cipher-mode", "default": null, - "type": "469" + "type": "483" }, { "name": "ivgen-alg", "default": null, - "type": "470" + "type": "484" }, { "name": "ivgen-hash-alg", "default": null, - "type": "471" + "type": "485" }, { "name": "hash-alg", "default": null, - "type": "471" + "type": "485" }, { "name": "iter-time", @@ -19948,7 +21035,7 @@ }, { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -19957,17 +21044,17 @@ { "name": "preallocation", "default": null, - "type": "467" + "type": "481" } ], "meta-type": "object" }, { - "name": "385", + "name": "395", "members": [ { "name": "location", - "type": "256" + "type": "262" }, { "name": "size", @@ -19977,11 +21064,11 @@ "meta-type": "object" }, { - "name": "386", + "name": "396", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -19996,11 +21083,11 @@ "meta-type": "object" }, { - "name": "387", + "name": "397", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -20014,22 +21101,22 @@ { "name": "encrypt", "default": null, - "type": "472" + "type": "486" } ], "meta-type": "object" }, { - "name": "388", + "name": "398", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "data-file", "default": null, - "type": "364" + "type": "374" }, { "name": "data-file-raw", @@ -20043,7 +21130,7 @@ { "name": "version", "default": null, - "type": "473" + "type": "487" }, { "name": "backing-file", @@ -20053,12 +21140,12 @@ { "name": "backing-fmt", "default": null, - "type": "240" + "type": "245" }, { "name": "encrypt", "default": null, - "type": "472" + "type": "486" }, { "name": "cluster-size", @@ -20068,7 +21155,7 @@ { "name": "preallocation", "default": null, - "type": "467" + "type": "481" }, { "name": "lazy-refcounts", @@ -20084,11 +21171,11 @@ "meta-type": "object" }, { - "name": "389", + "name": "399", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -20102,7 +21189,7 @@ { "name": "backing-fmt", "default": null, - "type": "240" + "type": "245" }, { "name": "cluster-size", @@ -20118,11 +21205,11 @@ "meta-type": "object" }, { - "name": "390", + "name": "400", "members": [ { "name": "location", - "type": "264" + "type": "270" }, { "name": "size", @@ -20137,11 +21224,11 @@ "meta-type": "object" }, { - "name": "391", + "name": "401", "members": [ { "name": "location", - "type": "266" + "type": "272" }, { "name": "size", @@ -20155,12 +21242,12 @@ { "name": "preallocation", "default": null, - "type": "467" + "type": "481" }, { "name": "redundancy", "default": null, - "type": "474" + "type": "488" }, { "name": "object-size", @@ -20171,11 +21258,11 @@ "meta-type": "object" }, { - "name": "392", + "name": "402", "members": [ { "name": "location", - "type": "267" + "type": "273" }, { "name": "size", @@ -20185,11 +21272,11 @@ "meta-type": "object" }, { - "name": "393", + "name": "403", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -20198,17 +21285,17 @@ { "name": "preallocation", "default": null, - "type": "467" + "type": "481" } ], "meta-type": "object" }, { - "name": "394", + "name": "404", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -20227,7 +21314,7 @@ { "name": "subformat", "default": null, - "type": "475" + "type": "489" }, { "name": "block-state-zero", @@ -20238,11 +21325,11 @@ "meta-type": "object" }, { - "name": "395", + "name": "405", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -20251,12 +21338,12 @@ { "name": "extents", "default": null, - "type": "[364]" + "type": "[374]" }, { "name": "subformat", "default": null, - "type": "476" + "type": "490" }, { "name": "backing-file", @@ -20266,7 +21353,7 @@ { "name": "adapter-type", "default": null, - "type": "477" + "type": "491" }, { "name": "hwversion", @@ -20282,11 +21369,11 @@ "meta-type": "object" }, { - "name": "396", + "name": "406", "members": [ { "name": "file", - "type": "364" + "type": "374" }, { "name": "size", @@ -20295,7 +21382,7 @@ { "name": "subformat", "default": null, - "type": "478" + "type": "492" }, { "name": "force-size", @@ -20311,7 +21398,7 @@ "meta-type": "builtin" }, { - "name": "397", + "name": "407", "meta-type": "enum", "values": [ "inet", @@ -20321,47 +21408,47 @@ ] }, { - "name": "398", + "name": "408", "members": [ { "name": "data", - "type": "380" + "type": "390" } ], "meta-type": "object" }, { - "name": "399", + "name": "409", "members": [ { "name": "data", - "type": "425" + "type": "435" } ], "meta-type": "object" }, { - "name": "400", + "name": "410", "members": [ { "name": "data", - "type": "426" + "type": "436" } ], "meta-type": "object" }, { - "name": "401", + "name": "411", "members": [ { "name": "data", - "type": "427" + "type": "437" } ], "meta-type": "object" }, { - "name": "402", + "name": "412", "meta-type": "enum", "values": [ "file", @@ -20384,164 +21471,8 @@ "memory" ] }, - { - "name": "403", - "members": [ - { - "name": "data", - "type": "479" - } - ], - "meta-type": "object" - }, - { - "name": "404", - "members": [ - { - "name": "data", - "type": "480" - } - ], - "meta-type": "object" - }, - { - "name": "405", - "members": [ - { - "name": "data", - "type": "481" - } - ], - "meta-type": "object" - }, - { - "name": "406", - "members": [ - { - "name": "data", - "type": "482" - } - ], - "meta-type": "object" - }, - { - "name": "407", - "members": [ - { - "name": "data", - "type": "483" - } - ], - "meta-type": "object" - }, - { - "name": "408", - "members": [ - { - "name": "data", - "type": "484" - } - ], - "meta-type": "object" - }, - { - "name": "409", - "members": [ - { - "name": "data", - "type": "485" - } - ], - "meta-type": "object" - }, - { - "name": "412", - "members": [ - { - "name": "data", - "type": "488" - } - ], - "meta-type": "object" - }, { "name": "413", - "members": [ - { - "name": "data", - "type": "489" - } - ], - "meta-type": "object" - }, - { - "name": "414", - "meta-type": "enum", - "values": [ - "passthrough", - "emulator" - ] - }, - { - "name": "415", - "members": [ - { - "name": "data", - "type": "490" - } - ], - "meta-type": "object" - }, - { - "name": "416", - "members": [ - { - "name": "data", - "type": "491" - } - ], - "meta-type": "object" - }, - { - "name": "417", - "meta-type": "enum", - "values": [ - "number", - "qcode" - ] - }, - { - "name": "418", - "members": [ - { - "name": "data", - "type": "int" - } - ], - "meta-type": "object" - }, - { - "name": "419", - "members": [ - { - "name": "data", - "type": "492" - } - ], - "meta-type": "object" - }, - { - "name": "420", - "meta-type": "enum", - "values": [ - "key", - "btn", - "rel", - "abs" - ] - }, - { - "name": "421", "members": [ { "name": "data", @@ -20551,7 +21482,7 @@ "meta-type": "object" }, { - "name": "422", + "name": "414", "members": [ { "name": "data", @@ -20561,7 +21492,7 @@ "meta-type": "object" }, { - "name": "423", + "name": "415", "members": [ { "name": "data", @@ -20570,9 +21501,165 @@ ], "meta-type": "object" }, + { + "name": "416", + "members": [ + { + "name": "data", + "type": "496" + } + ], + "meta-type": "object" + }, + { + "name": "417", + "members": [ + { + "name": "data", + "type": "497" + } + ], + "meta-type": "object" + }, + { + "name": "418", + "members": [ + { + "name": "data", + "type": "498" + } + ], + "meta-type": "object" + }, + { + "name": "419", + "members": [ + { + "name": "data", + "type": "499" + } + ], + "meta-type": "object" + }, + { + "name": "422", + "members": [ + { + "name": "data", + "type": "502" + } + ], + "meta-type": "object" + }, + { + "name": "423", + "members": [ + { + "name": "data", + "type": "503" + } + ], + "meta-type": "object" + }, { "name": "424", "meta-type": "enum", + "values": [ + "passthrough", + "emulator" + ] + }, + { + "name": "425", + "members": [ + { + "name": "data", + "type": "504" + } + ], + "meta-type": "object" + }, + { + "name": "426", + "members": [ + { + "name": "data", + "type": "505" + } + ], + "meta-type": "object" + }, + { + "name": "427", + "meta-type": "enum", + "values": [ + "number", + "qcode" + ] + }, + { + "name": "428", + "members": [ + { + "name": "data", + "type": "int" + } + ], + "meta-type": "object" + }, + { + "name": "429", + "members": [ + { + "name": "data", + "type": "506" + } + ], + "meta-type": "object" + }, + { + "name": "430", + "meta-type": "enum", + "values": [ + "key", + "btn", + "rel", + "abs" + ] + }, + { + "name": "431", + "members": [ + { + "name": "data", + "type": "507" + } + ], + "meta-type": "object" + }, + { + "name": "432", + "members": [ + { + "name": "data", + "type": "508" + } + ], + "meta-type": "object" + }, + { + "name": "433", + "members": [ + { + "name": "data", + "type": "509" + } + ], + "meta-type": "object" + }, + { + "name": "434", + "meta-type": "enum", "values": [ "inet", "unix", @@ -20581,7 +21668,7 @@ ] }, { - "name": "425", + "name": "435", "members": [ { "name": "path", @@ -20591,7 +21678,7 @@ "meta-type": "object" }, { - "name": "426", + "name": "436", "members": [ { "name": "cid", @@ -20605,7 +21692,7 @@ "meta-type": "object" }, { - "name": "427", + "name": "437", "members": [ { "name": "str", @@ -20615,7 +21702,7 @@ "meta-type": "object" }, { - "name": "428", + "name": "438", "meta-type": "enum", "values": [ "abort", @@ -20633,87 +21720,57 @@ ] }, { - "name": "429", + "name": "439", "members": [ { "name": "data", - "type": "496" + "type": "510" } ], "meta-type": "object" }, { - "name": "430", + "name": "440", "members": [ { "name": "data", - "type": "31" + "type": "35" } ], "meta-type": "object" }, { - "name": "431", + "name": "441", "members": [ { "name": "data", - "type": "32" + "type": "36" } ], "meta-type": "object" }, { - "name": "432", + "name": "442", "members": [ { "name": "data", - "type": "33" + "type": "37" } ], "meta-type": "object" }, { - "name": "433", + "name": "443", "members": [ { "name": "data", - "type": "27" + "type": "30" } ], "meta-type": "object" }, { - "name": "434", - "members": [ - { - "name": "data", - "type": "23" - } - ], - "meta-type": "object" - }, - { - "name": "435", - "members": [ - { - "name": "data", - "type": "65" - } - ], - "meta-type": "object" - }, - { - "name": "436", - "members": [ - { - "name": "data", - "type": "22" - } - ], - "meta-type": "object" - }, - { - "name": "437", + "name": "444", "members": [ { "name": "data", @@ -20723,7 +21780,37 @@ "meta-type": "object" }, { - "name": "438", + "name": "445", + "members": [ + { + "name": "data", + "type": "67" + } + ], + "meta-type": "object" + }, + { + "name": "446", + "members": [ + { + "name": "data", + "type": "25" + } + ], + "meta-type": "object" + }, + { + "name": "447", + "members": [ + { + "name": "data", + "type": "29" + } + ], + "meta-type": "object" + }, + { + "name": "448", "meta-type": "enum", "values": [ "individual", @@ -20731,7 +21818,7 @@ ] }, { - "name": "439", + "name": "449", "meta-type": "enum", "values": [ "string", @@ -20745,12 +21832,12 @@ ] }, { - "name": "[440]", - "element-type": "440", + "name": "[450]", + "element-type": "450", "meta-type": "array" }, { - "name": "440", + "name": "450", "members": [ { "name": "name", @@ -20769,12 +21856,12 @@ "meta-type": "object" }, { - "name": "[441]", - "element-type": "441", + "name": "[451]", + "element-type": "451", "meta-type": "array" }, { - "name": "441", + "name": "451", "members": [ { "name": "case", @@ -20788,12 +21875,12 @@ "meta-type": "object" }, { - "name": "[442]", - "element-type": "442", + "name": "[452]", + "element-type": "452", "meta-type": "array" }, { - "name": "442", + "name": "452", "members": [ { "name": "type", @@ -20803,7 +21890,7 @@ "meta-type": "object" }, { - "name": "443", + "name": "453", "meta-type": "enum", "values": [ "uninitialized", @@ -20814,7 +21901,47 @@ ] }, { - "name": "444", + "name": "454", + "meta-type": "enum", + "values": [ + "memory", + "first-level", + "second-level", + "third-level" + ] + }, + { + "name": "455", + "meta-type": "enum", + "values": [ + "access-latency", + "read-latency", + "write-latency", + "access-bandwidth", + "read-bandwidth", + "write-bandwidth" + ] + }, + { + "name": "456", + "meta-type": "enum", + "values": [ + "none", + "direct", + "complex" + ] + }, + { + "name": "457", + "meta-type": "enum", + "values": [ + "none", + "write-back", + "write-through" + ] + }, + { + "name": "458", "members": [ { "name": "desc", @@ -20829,7 +21956,7 @@ "meta-type": "object" }, { - "name": "445", + "name": "459", "members": [ { "name": "device", @@ -20853,27 +21980,27 @@ "meta-type": "object" }, { - "name": "446", + "name": "460", "members": [ { "name": "bus", - "type": "497" + "type": "511" }, { "name": "devices", "default": null, - "type": "[347]" + "type": "[356]" } ], "meta-type": "object" }, { - "name": "[447]", - "element-type": "447", + "name": "[461]", + "element-type": "461", "meta-type": "array" }, { - "name": "447", + "name": "461", "members": [ { "name": "bar", @@ -20905,7 +22032,7 @@ "meta-type": "object" }, { - "name": "448", + "name": "462", "meta-type": "enum", "values": [ "string", @@ -20915,7 +22042,7 @@ ] }, { - "name": "449", + "name": "463", "members": [ { "name": "id", @@ -20954,7 +22081,7 @@ "meta-type": "object" }, { - "name": "450", + "name": "464", "members": [ { "name": "id", @@ -20977,7 +22104,7 @@ "meta-type": "object" }, { - "name": "451", + "name": "465", "meta-type": "enum", "values": [ "unknown", @@ -20988,7 +22115,7 @@ ] }, { - "name": "452", + "name": "466", "meta-type": "enum", "values": [ "qcow2", @@ -20997,37 +22124,37 @@ ] }, { - "name": "453", + "name": "467", "members": [ { "name": "data", - "type": "498" + "type": "512" } ], "meta-type": "object" }, { - "name": "454", + "name": "468", "members": [ { "name": "data", - "type": "499" + "type": "513" } ], "meta-type": "object" }, { - "name": "455", + "name": "469", "members": [ { "name": "data", - "type": "500" + "type": "514" } ], "meta-type": "object" }, { - "name": "456", + "name": "470", "meta-type": "enum", "values": [ "l1_update", @@ -21081,7 +22208,7 @@ ] }, { - "name": "457", + "name": "471", "meta-type": "enum", "values": [ "read", @@ -21093,19 +22220,19 @@ ] }, { - "name": "458", + "name": "472", "meta-type": "enum", "values": [ "inet" ] }, { - "name": "459", + "name": "473", "members": [ { "name": "template", "default": null, - "type": "460" + "type": "474" }, { "name": "main-header", @@ -21156,7 +22283,7 @@ "meta-type": "object" }, { - "name": "460", + "name": "474", "meta-type": "enum", "values": [ "none", @@ -21166,7 +22293,7 @@ ] }, { - "name": "461", + "name": "475", "meta-type": "enum", "values": [ "aes", @@ -21174,7 +22301,7 @@ ] }, { - "name": "462", + "name": "476", "members": [ { "name": "key-secret", @@ -21185,7 +22312,7 @@ "meta-type": "object" }, { - "name": "463", + "name": "477", "members": [ { "name": "key-secret", @@ -21196,14 +22323,14 @@ "meta-type": "object" }, { - "name": "464", + "name": "478", "meta-type": "enum", "values": [ "aes" ] }, { - "name": "465", + "name": "479", "meta-type": "enum", "values": [ "none", @@ -21212,11 +22339,11 @@ ] }, { - "name": "466", + "name": "480", "members": [ { "name": "type", - "type": "501" + "type": "515" }, { "name": "hash", @@ -21226,7 +22353,7 @@ "meta-type": "object" }, { - "name": "467", + "name": "481", "meta-type": "enum", "values": [ "off", @@ -21236,7 +22363,7 @@ ] }, { - "name": "468", + "name": "482", "meta-type": "enum", "values": [ "aes-128", @@ -21254,7 +22381,7 @@ ] }, { - "name": "469", + "name": "483", "meta-type": "enum", "values": [ "ecb", @@ -21264,7 +22391,7 @@ ] }, { - "name": "470", + "name": "484", "meta-type": "enum", "values": [ "plain", @@ -21273,7 +22400,7 @@ ] }, { - "name": "471", + "name": "485", "meta-type": "enum", "values": [ "md5", @@ -21286,28 +22413,28 @@ ] }, { - "name": "472", + "name": "486", "tag": "format", "variants": [ { "case": "qcow", - "type": "462" + "type": "476" }, { "case": "luks", - "type": "503" + "type": "517" } ], "members": [ { "name": "format", - "type": "502" + "type": "516" } ], "meta-type": "object" }, { - "name": "473", + "name": "487", "meta-type": "enum", "values": [ "v2", @@ -21315,28 +22442,28 @@ ] }, { - "name": "474", + "name": "488", "tag": "type", "variants": [ { "case": "full", - "type": "505" + "type": "519" }, { "case": "erasure-coded", - "type": "506" + "type": "520" } ], "members": [ { "name": "type", - "type": "504" + "type": "518" } ], "meta-type": "object" }, { - "name": "475", + "name": "489", "meta-type": "enum", "values": [ "dynamic", @@ -21344,7 +22471,7 @@ ] }, { - "name": "476", + "name": "490", "meta-type": "enum", "values": [ "monolithicSparse", @@ -21355,7 +22482,7 @@ ] }, { - "name": "477", + "name": "491", "meta-type": "enum", "values": [ "ide", @@ -21365,7 +22492,7 @@ ] }, { - "name": "478", + "name": "492", "meta-type": "enum", "values": [ "dynamic", @@ -21373,7 +22500,7 @@ ] }, { - "name": "479", + "name": "493", "members": [ { "name": "logfile", @@ -21403,7 +22530,7 @@ "meta-type": "object" }, { - "name": "480", + "name": "494", "members": [ { "name": "logfile", @@ -21423,7 +22550,7 @@ "meta-type": "object" }, { - "name": "481", + "name": "495", "members": [ { "name": "logfile", @@ -21437,7 +22564,7 @@ }, { "name": "addr", - "type": "276" + "type": "282" }, { "name": "tls-creds", @@ -21488,7 +22615,7 @@ "meta-type": "object" }, { - "name": "482", + "name": "496", "members": [ { "name": "logfile", @@ -21502,18 +22629,18 @@ }, { "name": "remote", - "type": "276" + "type": "282" }, { "name": "local", "default": null, - "type": "276" + "type": "282" } ], "meta-type": "object" }, { - "name": "483", + "name": "497", "members": [ { "name": "logfile", @@ -21529,7 +22656,7 @@ "meta-type": "object" }, { - "name": "484", + "name": "498", "members": [ { "name": "logfile", @@ -21549,7 +22676,7 @@ "meta-type": "object" }, { - "name": "485", + "name": "499", "members": [ { "name": "logfile", @@ -21570,7 +22697,7 @@ "meta-type": "object" }, { - "name": "488", + "name": "502", "members": [ { "name": "logfile", @@ -21606,7 +22733,7 @@ "meta-type": "object" }, { - "name": "489", + "name": "503", "members": [ { "name": "logfile", @@ -21627,7 +22754,7 @@ "meta-type": "object" }, { - "name": "490", + "name": "504", "members": [ { "name": "path", @@ -21643,7 +22770,7 @@ "meta-type": "object" }, { - "name": "491", + "name": "505", "members": [ { "name": "chardev", @@ -21653,7 +22780,7 @@ "meta-type": "object" }, { - "name": "492", + "name": "506", "meta-type": "enum", "values": [ "unmapped", @@ -21807,11 +22934,11 @@ ] }, { - "name": "493", + "name": "507", "members": [ { "name": "key", - "type": "301" + "type": "307" }, { "name": "down", @@ -21821,11 +22948,11 @@ "meta-type": "object" }, { - "name": "494", + "name": "508", "members": [ { "name": "button", - "type": "507" + "type": "521" }, { "name": "down", @@ -21835,11 +22962,11 @@ "meta-type": "object" }, { - "name": "495", + "name": "509", "members": [ { "name": "axis", - "type": "508" + "type": "522" }, { "name": "value", @@ -21849,13 +22976,13 @@ "meta-type": "object" }, { - "name": "496", + "name": "510", "members": [ ], "meta-type": "object" }, { - "name": "497", + "name": "511", "members": [ { "name": "number", @@ -21871,21 +22998,21 @@ }, { "name": "io_range", - "type": "509" + "type": "523" }, { "name": "memory_range", - "type": "509" + "type": "523" }, { "name": "prefetchable_range", - "type": "509" + "type": "523" } ], "meta-type": "object" }, { - "name": "498", + "name": "512", "members": [ { "name": "compat", @@ -21918,18 +23045,18 @@ { "name": "encrypt", "default": null, - "type": "510" + "type": "524" }, { "name": "bitmaps", "default": null, - "type": "[511]" + "type": "[525]" } ], "meta-type": "object" }, { - "name": "499", + "name": "513", "members": [ { "name": "create-type", @@ -21945,34 +23072,34 @@ }, { "name": "extents", - "type": "[234]" + "type": "[239]" } ], "meta-type": "object" }, { - "name": "500", + "name": "514", "members": [ { "name": "cipher-alg", - "type": "468" + "type": "482" }, { "name": "cipher-mode", - "type": "469" + "type": "483" }, { "name": "ivgen-alg", - "type": "470" + "type": "484" }, { "name": "ivgen-hash-alg", "default": null, - "type": "471" + "type": "485" }, { "name": "hash-alg", - "type": "471" + "type": "485" }, { "name": "payload-offset", @@ -21988,13 +23115,13 @@ }, { "name": "slots", - "type": "[512]" + "type": "[526]" } ], "meta-type": "object" }, { - "name": "501", + "name": "515", "meta-type": "enum", "values": [ "md5", @@ -22002,7 +23129,7 @@ ] }, { - "name": "502", + "name": "516", "meta-type": "enum", "values": [ "qcow", @@ -22010,7 +23137,7 @@ ] }, { - "name": "503", + "name": "517", "members": [ { "name": "key-secret", @@ -22020,27 +23147,27 @@ { "name": "cipher-alg", "default": null, - "type": "468" + "type": "482" }, { "name": "cipher-mode", "default": null, - "type": "469" + "type": "483" }, { "name": "ivgen-alg", "default": null, - "type": "470" + "type": "484" }, { "name": "ivgen-hash-alg", "default": null, - "type": "471" + "type": "485" }, { "name": "hash-alg", "default": null, - "type": "471" + "type": "485" }, { "name": "iter-time", @@ -22051,7 +23178,7 @@ "meta-type": "object" }, { - "name": "504", + "name": "518", "meta-type": "enum", "values": [ "full", @@ -22059,7 +23186,7 @@ ] }, { - "name": "505", + "name": "519", "members": [ { "name": "copies", @@ -22069,7 +23196,7 @@ "meta-type": "object" }, { - "name": "506", + "name": "520", "members": [ { "name": "data-strips", @@ -22083,7 +23210,7 @@ "meta-type": "object" }, { - "name": "507", + "name": "521", "meta-type": "enum", "values": [ "left", @@ -22096,7 +23223,7 @@ ] }, { - "name": "508", + "name": "522", "meta-type": "enum", "values": [ "x", @@ -22104,7 +23231,7 @@ ] }, { - "name": "509", + "name": "523", "members": [ { "name": "base", @@ -22118,12 +23245,12 @@ "meta-type": "object" }, { - "name": "510", + "name": "524", "tag": "format", "variants": [ { "case": "luks", - "type": "500" + "type": "514" }, { "case": "aes", @@ -22133,18 +23260,18 @@ "members": [ { "name": "format", - "type": "461" + "type": "475" } ], "meta-type": "object" }, { - "name": "[511]", - "element-type": "511", + "name": "[525]", + "element-type": "525", "meta-type": "array" }, { - "name": "511", + "name": "525", "members": [ { "name": "name", @@ -22156,23 +23283,23 @@ }, { "name": "flags", - "type": "[513]" + "type": "[527]" } ], "meta-type": "object" }, { - "name": "[234]", - "element-type": "234", + "name": "[239]", + "element-type": "239", "meta-type": "array" }, { - "name": "[512]", - "element-type": "512", + "name": "[526]", + "element-type": "526", "meta-type": "array" }, { - "name": "512", + "name": "526", "members": [ { "name": "active", @@ -22196,12 +23323,12 @@ "meta-type": "object" }, { - "name": "[513]", - "element-type": "513", + "name": "[527]", + "element-type": "527", "meta-type": "array" }, { - "name": "513", + "name": "527", "meta-type": "enum", "values": [ "in-use", @@ -22209,7 +23336,7 @@ ] } ], - "id": "libvirt-40" + "id": "libvirt-41" } { @@ -22250,6 +23377,11 @@ "typename": "970fx_v3.1-powerpc64-cpu", "static": false }, + { + "name": "power10", + "typename": "power10_v1.0-powerpc64-cpu", + "static": false + }, { "name": "power9", "typename": "power9_v2.0-powerpc64-cpu", @@ -22876,637 +24008,7 @@ "static": false }, { - "name": "mpc8547e_v21", - "typename": "", - "static": false - }, - { - "name": "g2gp", - "typename": "", - "static": false - }, - { - "name": "7457a_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cl_v1.0", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.1", - "typename": "", - "static": false - }, - { - "name": "755_v2.7", - "typename": "", - "static": false - }, - { - "name": "750_v2.1", - "typename": "", - "static": false - }, - { - "name": "755_v2.2", - "typename": "", - "static": false - }, - { - "name": "745_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8377", - "typename": "", - "static": false - }, - { - "name": "7455_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8545_v21", - "typename": "", - "static": false - }, - { - "name": "mpc8548_v11", - "typename": "", - "static": false - }, - { - "name": "405d4", - "typename": "", - "static": false - }, - { - "name": "g2le", - "typename": "", - "static": false - }, - { - "name": "750e", - "typename": "", - "static": false - }, - { - "name": "mpc8572", - "typename": "", - "static": false - }, - { - "name": "g2hip3", - "typename": "", - "static": false - }, - { - "name": "970fx_v2.0", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.0", - "typename": "", - "static": false - }, - { - "name": "603p", - "typename": "", - "static": false - }, - { - "name": "405gpb", - "typename": "", - "static": false - }, - { - "name": "7400_v2.7", - "typename": "", - "static": false - }, - { - "name": "mpc8544e_v10", - "typename": "", - "static": false - }, - { - "name": "e500v2_v10", - "typename": "", - "static": false - }, - { - "name": "e500mc", - "typename": "", - "static": false - }, - { - "name": "603e7v1", - "typename": "", - "static": false - }, - { - "name": "970mp_v1.0", - "typename": "", - "static": false - }, - { - "name": "740e", - "typename": "", - "static": false - }, - { - "name": "405crc", - "typename": "", - "static": false - }, - { - "name": "403ga", - "typename": "", - "static": false - }, - { - "name": "7447a_v1.0", - "typename": "", - "static": false - }, - { - "name": "e300c1", - "typename": "", - "static": false - }, - { - "name": "mpc8572e", - "typename": "", - "static": false - }, - { - "name": "e500v2_v21", - "typename": "", - "static": false - }, - { - "name": "7400_v2.2", - "typename": "", - "static": false - }, - { - "name": "970fx_v1.0", - "typename": "", - "static": false - }, - { - "name": "750gx_v1.2", - "typename": "", - "static": false - }, - { - "name": "750fx_v1.0", - "typename": "", - "static": false - }, - { - "name": "7457_v1.1", - "typename": "", - "static": false - }, - { - "name": "970fx_v3.0", - "typename": "", - "static": false - }, - { - "name": "604e_v2.2", - "typename": "", - "static": false - }, - { - "name": "745_v2.4", - "typename": "", - "static": false - }, - { - "name": "401a1", - "typename": "", - "static": false - }, - { - "name": "755_v2.6", - "typename": "", - "static": false - }, - { - "name": "power9_v1.0", - "typename": "", - "static": false - }, - { - "name": "npe405h", - "typename": "", - "static": false - }, - { - "name": "g2ls", - "typename": "", - "static": false - }, - { - "name": "7410_v1.4", - "typename": "", - "static": false - }, - { - "name": "750_v2.0", - "typename": "", - "static": false - }, - { - "name": "401b2", - "typename": "", - "static": false - }, - { - "name": "mpc8349", - "typename": "", - "static": false - }, - { - "name": "mpc8347ep", - "typename": "", - "static": false - }, - { - "name": "mpc8540_v21", - "typename": "", - "static": false - }, - { - "name": "mpc8543_v11", - "typename": "", - "static": false - }, - { - "name": "403gcx", - "typename": "", - "static": false - }, - { - "name": "7445_v3.2", - "typename": "", - "static": false - }, - { - "name": "mpc8548_v21", - "typename": "", - "static": false - }, - { - "name": "750l_v3.2", - "typename": "", - "static": false - }, - { - "name": "750_v1.0", - "typename": "", - "static": false - }, - { - "name": "755_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cxe_v3.0", - "typename": "", - "static": false - }, - { - "name": "e500_v10", - "typename": "", - "static": false - }, - { - "name": "mpc8541e_v10", - "typename": "", - "static": false - }, - { - "name": "power9_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8347p", - "typename": "", - "static": false - }, - { - "name": "mpc8555e_v10", - "typename": "", - "static": false - }, - { - "name": "power7_v2.3", - "typename": "", - "static": false - }, - { - "name": "603e7v", - "typename": "", - "static": false - }, - { - "name": "mpc8543e_v11", - "typename": "", - "static": false - }, - { - "name": "750_v3.0", - "typename": "", - "static": false - }, - { - "name": "7400_v2.6", - "typename": "", - "static": false - }, - { - "name": "405gpa", - "typename": "", - "static": false - }, - { - "name": "440epx", - "typename": "", - "static": false - }, - { - "name": "750l_v2.2", - "typename": "", - "static": false - }, - { - "name": "e200z6", - "typename": "", - "static": false - }, - { - "name": "mpc8547e_v20", - "typename": "", - "static": false - }, - { - "name": "7457a_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8349ea", - "typename": "", - "static": false - }, - { - "name": "e500v2_v20", - "typename": "", - "static": false - }, - { - "name": "power8nvl_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8540_v10", - "typename": "", - "static": false - }, - { - "name": "755_v2.1", - "typename": "", - "static": false - }, - { - "name": "745_v2.8", - "typename": "", - "static": false - }, - { - "name": "npe405l", - "typename": "", - "static": false - }, - { - "name": "mpc8343ea", - "typename": "", - "static": false - }, - { - "name": "mpc8545_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8548_v10", - "typename": "", - "static": false - }, - { - "name": "7448_v1.1", - "typename": "", - "static": false - }, - { - "name": "740_v2.2", - "typename": "", - "static": false - }, - { - "name": "7455_v3.4", - "typename": "", - "static": false - }, - { - "name": "7400_v1.1", - "typename": "", - "static": false - }, - { - "name": "mpc8347et", - "typename": "", - "static": false - }, - { - "name": "7441_v2.10", - "typename": "", - "static": false - }, - { - "name": "603e_v1.4", - "typename": "", - "static": false - }, - { - "name": "mpc8543_v10", - "typename": "", - "static": false - }, - { - "name": "401c2", - "typename": "", - "static": false - }, - { - "name": "7451_v2.10", - "typename": "", - "static": false - }, - { - "name": "750cx_v2.2", - "typename": "", - "static": false - }, - { - "name": "mpc8543_v21", - "typename": "", - "static": false - }, - { - "name": "603e7", - "typename": "", - "static": false - }, - { - "name": "7448_v2.1", - "typename": "", - "static": false - }, - { - "name": "7441_v2.3", - "typename": "", - "static": false - }, - { - "name": "405crb", - "typename": "", - "static": false - }, - { - "name": "604", - "typename": "", - "static": false - }, - { - "name": "7400_v2.1", - "typename": "", - "static": false - }, - { - "name": "440-xilinx-w-dfpu", - "typename": "", - "static": false - }, - { - "name": "7445_v2.1", - "typename": "", - "static": false - }, - { - "name": "cobra", - "typename": "", - "static": false - }, - { - "name": "e500_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8541_v11", - "typename": "", - "static": false - }, - { - "name": "750gx_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.4", - "typename": "", - "static": false - }, - { - "name": "mpc8641d", - "typename": "", - "static": false - }, - { - "name": "mpc8543e_v21", - "typename": "", - "static": false - }, - { - "name": "750l_v2.1", - "typename": "", - "static": false - }, - { - "name": "7457_v1.0", - "typename": "", - "static": false - }, - { - "name": "7450_v1.2", - "typename": "", - "static": false - }, - { - "name": "755_v2.5", - "typename": "", - "static": false - }, - { - "name": "7410_v1.3", - "typename": "", - "static": false - }, - { - "name": "745_v2.3", - "typename": "", - "static": false - }, - { - "name": "755_v2.0", - "typename": "", - "static": false - }, - { - "name": "e500v2_v30", - "typename": "", - "static": false - }, - { - "name": "7455_v3.3", - "typename": "", - "static": false - }, - { - "name": "mpc8540_v20", - "typename": "", - "static": false - }, - { - "name": "405d2", - "typename": "", - "static": false - }, - { - "name": "mpc8560_v21", + "name": "740_v2.0", "typename": "", "static": false }, @@ -23516,22 +24018,12 @@ "static": false }, { - "name": "mpc8548_v20", + "name": "mpc8545e_v21", "typename": "", "static": false }, { - "name": "mpc8347t", - "typename": "", - "static": false - }, - { - "name": "755_v1.0", - "typename": "", - "static": false - }, - { - "name": "603e_v1.3", + "name": "power8nvl_v1.0", "typename": "", "static": false }, @@ -23541,247 +24033,17 @@ "static": false }, { - "name": "mpc8347eap", + "name": "mpc8555_v10", "typename": "", "static": false }, { - "name": "mpc8548e_v11", + "name": "750_v2.0", "typename": "", "static": false }, { - "name": "mpc8543_v20", - "typename": "", - "static": false - }, - { - "name": "401d2", - "typename": "", - "static": false - }, - { - "name": "power8_v2.0", - "typename": "", - "static": false - }, - { - "name": "740_v3.1", - "typename": "", - "static": false - }, - { - "name": "e200z5", - "typename": "", - "static": false - }, - { - "name": "405cra", - "typename": "", - "static": false - }, - { - "name": "7400_v2.0", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.3", - "typename": "", - "static": false - }, - { - "name": "745_v2.7", - "typename": "", - "static": false - }, - { - "name": "mpc8560_v10", - "typename": "", - "static": false - }, - { - "name": "e5500", - "typename": "", - "static": false - }, - { - "name": "mpc8544_v11", - "typename": "", - "static": false - }, - { - "name": "7448_v1.0", - "typename": "", - "static": false - }, - { - "name": "401e2", - "typename": "", - "static": false - }, - { - "name": "740_v2.1", - "typename": "", - "static": false - }, - { - "name": "7410_v1.2", - "typename": "", - "static": false - }, - { - "name": "745_v2.2", - "typename": "", - "static": false - }, - { - "name": "7400_v1.0", - "typename": "", - "static": false - }, - { - "name": "x2vp4", - "typename": "", - "static": false - }, - { - "name": "mpc8347ap", - "typename": "", - "static": false - }, - { - "name": "mpc8533_v11", - "typename": "", - "static": false - }, - { - "name": "mpc5200b_v21", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.3", - "typename": "", - "static": false - }, - { - "name": "601_v2", - "typename": "", - "static": false - }, - { - "name": "g2legp3", - "typename": "", - "static": false - }, - { - "name": "750cx_v2.1", - "typename": "", - "static": false - }, - { - "name": "604e_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8610", - "typename": "", - "static": false - }, - { - "name": "g2h4", - "typename": "", - "static": false - }, - { - "name": "750l_v3.0", - "typename": "", - "static": false - }, - { - "name": "mpc8377e", - "typename": "", - "static": false - }, - { - "name": "e300c4", - "typename": "", - "static": false - }, - { - "name": "mpc5200_v12", - "typename": "", - "static": false - }, - { - "name": "7448_v2.0", - "typename": "", - "static": false - }, - { - "name": "7450_v2.1", - "typename": "", - "static": false - }, - { - "name": "750cxe_v3.1b", - "typename": "", - "static": false - }, - { - "name": "g2", - "typename": "", - "static": false - }, - { - "name": "7400_v2.9", - "typename": "", - "static": false - }, - { - "name": "405gpd", - "typename": "", - "static": false - }, - { - "name": "603", - "typename": "", - "static": false - }, - { - "name": "603e7t", - "typename": "", - "static": false - }, - { - "name": "mpc8555_v11", - "typename": "", - "static": false - }, - { - "name": "750gx_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc8541_v10", - "typename": "", - "static": false - }, - { - "name": "mpc8543e_v20", - "typename": "", - "static": false - }, - { - "name": "750fl", - "typename": "", - "static": false - }, - { - "name": "750l_v2.0", + "name": "405gpb", "typename": "", "static": false }, @@ -23790,548 +24052,23 @@ "typename": "", "static": false }, - { - "name": "mpc8548e_v21", - "typename": "", - "static": false - }, - { - "name": "x2vp20", - "typename": "", - "static": false - }, - { - "name": "e600", - "typename": "", - "static": false - }, - { - "name": "405ez", - "typename": "", - "static": false - }, - { - "name": "7450_v1.1", - "typename": "", - "static": false - }, - { - "name": "755_v2.4", - "typename": "", - "static": false - }, - { - "name": "mpc8379", - "typename": "", - "static": false - }, - { - "name": "7445_v1.0", - "typename": "", - "static": false - }, - { - "name": "7447_v1.1", - "typename": "", - "static": false - }, - { - "name": "603e_v2.2", - "typename": "", - "static": false - }, - { - "name": "603e_v4", - "typename": "", - "static": false - }, - { - "name": "g2legp", - "typename": "", - "static": false - }, - { - "name": "7455_v3.2", - "typename": "", - "static": false - }, - { - "name": "740_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8560_v20", - "typename": "", - "static": false - }, - { - "name": "440-xilinx", - "typename": "", - "static": false - }, - { - "name": "401f2", - "typename": "", - "static": false - }, - { - "name": "mpc8533_v10", - "typename": "", - "static": false - }, - { - "name": "mpc8349a", - "typename": "", - "static": false - }, - { - "name": "405gpr", - "typename": "", - "static": false - }, - { - "name": "stb25", - "typename": "", - "static": false - }, - { - "name": "603e_v1.2", - "typename": "", - "static": false - }, - { - "name": "mpc5200b_v20", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.2", - "typename": "", - "static": false - }, - { - "name": "mpc8347eat", - "typename": "", - "static": false - }, - { - "name": "740_v1.0", - "typename": "", - "static": false - }, - { - "name": "745_v1.1", - "typename": "", - "static": false - }, - { - "name": "750cx_v2.0", - "typename": "", - "static": false - }, - { - "name": "mpc8548e_v10", - "typename": "", - "static": false - }, - { - "name": "601_v1", - "typename": "", - "static": false - }, - { - "name": "403gc", - "typename": "", - "static": false - }, - { - "name": "e300c3", - "typename": "", - "static": false - }, - { - "name": "7447a_v1.2", - "typename": "", - "static": false - }, - { - "name": "mpc5200_v11", - "typename": "", - "static": false - }, - { - "name": "7441_v2.1", - "typename": "", - "static": false - }, - { - "name": "740_v3.0", - "typename": "", - "static": false - }, - { - "name": "mpc8545e_v21", - "typename": "", - "static": false - }, - { - "name": "602", - "typename": "", - "static": false - }, - { - "name": "mpc8378e", - "typename": "", - "static": false - }, - { - "name": "mpc8343a", - "typename": "", - "static": false - }, - { - "name": "mpc8555_v10", - "typename": "", - "static": false - }, - { - "name": "460exb", - "typename": "", - "static": false - }, - { - "name": "mpc8568", - "typename": "", - "static": false - }, - { - "name": "7457a_v1.2", - "typename": "", - "static": false - }, - { - "name": "750cx_v1.0", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.2", - "typename": "", - "static": false - }, - { - "name": "604e_v2.4", - "typename": "", - "static": false - }, - { - "name": "755_v2.8", - "typename": "", - "static": false - }, - { - "name": "405lp", - "typename": "", - "static": false - }, - { - "name": "745_v2.6", - "typename": "", - "static": false - }, - { - "name": "stb03", - "typename": "", - "static": false - }, - { - "name": "405ep", - "typename": "", - "static": false - }, { "name": "mpc8544_v10", "typename": "", "static": false }, - { - "name": "mpc8347at", - "typename": "", - "static": false - }, - { - "name": "750_v2.2", - "typename": "", - "static": false - }, - { - "name": "755_v2.3", - "typename": "", - "static": false - }, - { - "name": "7410_v1.1", - "typename": "", - "static": false - }, - { - "name": "745_v2.1", - "typename": "", - "static": false - }, - { - "name": "750gl", - "typename": "", - "static": false - }, - { - "name": "e6500", - "typename": "", - "static": false - }, - { - "name": "750p", - "typename": "", - "static": false - }, - { - "name": "7450_v1.0", - "typename": "", - "static": false - }, - { - "name": "7445_v3.4", - "typename": "", - "static": false - }, - { - "name": "440epb", - "typename": "", - "static": false - }, - { - "name": "npe4gs3", - "typename": "", - "static": false - }, - { - "name": "603e_v3", - "typename": "", - "static": false - }, - { - "name": "mpc8349e", - "typename": "", - "static": false - }, - { - "name": "970_v2.2", - "typename": "", - "static": false - }, { "name": "g2lels", "typename": "", "static": false }, { - "name": "g2hip4", + "name": "mpc8548e_v10", "typename": "", "static": false }, { - "name": "740p", - "typename": "", - "static": false - }, - { - "name": "mpc8567e", - "typename": "", - "static": false - }, - { - "name": "mpc8533e_v11", - "typename": "", - "static": false - }, - { - "name": "7451_v2.3", - "typename": "", - "static": false - }, - { - "name": "603e_v1.1", - "typename": "", - "static": false - }, - { - "name": "401g2", - "typename": "", - "static": false - }, - { - "name": "7400_v2.8", - "typename": "", - "static": false - }, - { - "name": "405gpc", - "typename": "", - "static": false - }, - { - "name": "7455_v2.1", - "typename": "", - "static": false - }, - { - "name": "7450_v2.0", - "typename": "", - "static": false - }, - { - "name": "power7+_v2.1", - "typename": "", - "static": false - }, - { - "name": "mpc8544e_v11", - "typename": "", - "static": false - }, - { - "name": "970mp_v1.1", - "typename": "", - "static": false - }, - { - "name": "603e7v2", - "typename": "", - "static": false - }, - { - "name": "604r", - "typename": "", - "static": false - }, - { - "name": "e500v2_v22", - "typename": "", - "static": false - }, - { - "name": "mpc8548e_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8545e_v20", - "typename": "", - "static": false - }, - { - "name": "mpc8378", - "typename": "", - "static": false - }, - { - "name": "mpc8567", - "typename": "", - "static": false - }, - { - "name": "7457_v1.2", - "typename": "", - "static": false - }, - { - "name": "7447_v1.0", - "typename": "", - "static": false - }, - { - "name": "970fx_v3.1", - "typename": "", - "static": false - }, - { - "name": "745_v2.5", - "typename": "", - "static": false - }, - { - "name": "mpc8379e", - "typename": "", - "static": false - }, - { - "name": "mpc8641", - "typename": "", - "static": false - }, - { - "name": "750cxe_v2.4b", - "typename": "", - "static": false - }, - { - "name": "7410_v1.0", - "typename": "", - "static": false - }, - { - "name": "mpc603", - "typename": "", - "static": false - }, - { - "name": "603e_v4.1", - "typename": "", - "static": false - }, - { - "name": "7445_v3.3", - "typename": "", - "static": false - }, - { - "name": "440epa", - "typename": "", - "static": false - }, - { - "name": "970fx_v2.1", - "typename": "", - "static": false - }, - { - "name": "iop480", - "typename": "", - "static": false - }, - { - "name": "750fx_v2.1", - "typename": "", - "static": false - }, - { - "name": "601_v0", - "typename": "", - "static": false - }, - { - "name": "g2legp1", - "typename": "", - "static": false - }, - { - "name": "745_v1.0", - "typename": "", - "static": false - }, - { - "name": "750cl_v2.0", + "name": "440epx", "typename": "", "static": false }, @@ -24341,17 +24078,302 @@ "static": false }, { - "name": "401", + "name": "g2le", "typename": "", "static": false }, { - "name": "mpc8541e_v11", + "name": "mpc8543e_v11", "typename": "", "static": false }, { - "name": "750cxe_v3.1", + "name": "755_v2.0", + "typename": "", + "static": false + }, + { + "name": "e500v2_v20", + "typename": "", + "static": false + }, + { + "name": "401b2", + "typename": "", + "static": false + }, + { + "name": "x2vp20", + "typename": "", + "static": false + }, + { + "name": "405gpc", + "typename": "", + "static": false + }, + { + "name": "mpc8544_v11", + "typename": "", + "static": false + }, + { + "name": "mpc8548e_v11", + "typename": "", + "static": false + }, + { + "name": "405cra", + "typename": "", + "static": false + }, + { + "name": "npe405h", + "typename": "", + "static": false + }, + { + "name": "mpc8533_v11", + "typename": "", + "static": false + }, + { + "name": "7441_v2.10", + "typename": "", + "static": false + }, + { + "name": "mpc8544e_v10", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.1", + "typename": "", + "static": false + }, + { + "name": "750cx_v2.0", + "typename": "", + "static": false + }, + { + "name": "405gpd", + "typename": "", + "static": false + }, + { + "name": "7445_v3.2", + "typename": "", + "static": false + }, + { + "name": "mpc8545_v20", + "typename": "", + "static": false + }, + { + "name": "603p", + "typename": "", + "static": false + }, + { + "name": "601_v0", + "typename": "", + "static": false + }, + { + "name": "7400_v2.1", + "typename": "", + "static": false + }, + { + "name": "7455_v3.2", + "typename": "", + "static": false + }, + { + "name": "603e_v1.2", + "typename": "", + "static": false + }, + { + "name": "mpc8610", + "typename": "", + "static": false + }, + { + "name": "mpc8544e_v11", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.2", + "typename": "", + "static": false + }, + { + "name": "401g2", + "typename": "", + "static": false + }, + { + "name": "mpc8547e_v20", + "typename": "", + "static": false + }, + { + "name": "7445_v3.3", + "typename": "", + "static": false + }, + { + "name": "mpc8545_v21", + "typename": "", + "static": false + }, + { + "name": "mpc8379e", + "typename": "", + "static": false + }, + { + "name": "403gcx", + "typename": "", + "static": false + }, + { + "name": "mpc8349e", + "typename": "", + "static": false + }, + { + "name": "iop480", + "typename": "", + "static": false + }, + { + "name": "601_v1", + "typename": "", + "static": false + }, + { + "name": "7400_v2.2", + "typename": "", + "static": false + }, + { + "name": "7455_v3.3", + "typename": "", + "static": false + }, + { + "name": "603e_v1.3", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.4b", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v11", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.3", + "typename": "", + "static": false + }, + { + "name": "mpc8547e_v21", + "typename": "", + "static": false + }, + { + "name": "mpc8572e", + "typename": "", + "static": false + }, + { + "name": "mpc8543e_v20", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.1", + "typename": "", + "static": false + }, + { + "name": "602", + "typename": "", + "static": false + }, + { + "name": "mpc8555e_v10", + "typename": "", + "static": false + }, + { + "name": "7451_v2.10", + "typename": "", + "static": false + }, + { + "name": "750l_v2.2", + "typename": "", + "static": false + }, + { + "name": "970fx_v3.0", + "typename": "", + "static": false + }, + { + "name": "mpc8548e_v20", + "typename": "", + "static": false + }, + { + "name": "mpc8641d", + "typename": "", + "static": false + }, + { + "name": "7410_v1.0", + "typename": "", + "static": false + }, + { + "name": "401a1", + "typename": "", + "static": false + }, + { + "name": "mpc8543e_v21", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.2", + "typename": "", + "static": false + }, + { + "name": "e500v2_v30", + "typename": "", + "static": false + }, + { + "name": "405ez", + "typename": "", + "static": false + }, + { + "name": "401c2", "typename": "", "static": false }, @@ -24361,12 +24383,77 @@ "static": false }, { - "name": "power5+_v2.1", + "name": "405d2", "typename": "", "static": false }, { - "name": "403gb", + "name": "970fx_v3.1", + "typename": "", + "static": false + }, + { + "name": "mpc8548e_v21", + "typename": "", + "static": false + }, + { + "name": "405lp", + "typename": "", + "static": false + }, + { + "name": "mpc8349ea", + "typename": "", + "static": false + }, + { + "name": "750e", + "typename": "", + "static": false + }, + { + "name": "750cxe_v3.1b", + "typename": "", + "static": false + }, + { + "name": "740_v3.0", + "typename": "", + "static": false + }, + { + "name": "440epa", + "typename": "", + "static": false + }, + { + "name": "mpc5200b_v20", + "typename": "", + "static": false + }, + { + "name": "745_v2.6", + "typename": "", + "static": false + }, + { + "name": "750_v3.0", + "typename": "", + "static": false + }, + { + "name": "603e7v", + "typename": "", + "static": false + }, + { + "name": "mpc8377", + "typename": "", + "static": false + }, + { + "name": "7445_v1.0", "typename": "", "static": false }, @@ -24376,27 +24463,32 @@ "static": false }, { - "name": "e300c2", + "name": "740_v3.1", "typename": "", "static": false }, { - "name": "npe405h2", + "name": "970mp_v1.0", "typename": "", "static": false }, { - "name": "mpc8533e_v10", + "name": "mpc8641", "typename": "", "static": false }, { - "name": "mpc5200_v10", + "name": "e200z5", "typename": "", "static": false }, { - "name": "mpc8343e", + "name": "750p", + "typename": "", + "static": false + }, + { + "name": "745_v2.7", "typename": "", "static": false }, @@ -24405,15 +24497,1060 @@ "typename": "", "static": false }, + { + "name": "7450_v1.1", + "typename": "", + "static": false + }, + { + "name": "x2vp4", + "typename": "", + "static": false + }, + { + "name": "cobra", + "typename": "", + "static": false + }, + { + "name": "7447a_v1.2", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v21", + "typename": "", + "static": false + }, + { + "name": "755_v2.7", + "typename": "", + "static": false + }, + { + "name": "970mp_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8545e_v20", + "typename": "", + "static": false + }, + { + "name": "e200z6", + "typename": "", + "static": false + }, + { + "name": "745_v2.8", + "typename": "", + "static": false + }, + { + "name": "7450_v1.2", + "typename": "", + "static": false + }, + { + "name": "405gpa", + "typename": "", + "static": false + }, + { + "name": "stb03", + "typename": "", + "static": false + }, + { + "name": "755_v2.8", + "typename": "", + "static": false + }, + { + "name": "power9_v1.0", + "typename": "", + "static": false + }, + { + "name": "7457a_v1.0", + "typename": "", + "static": false + }, + { + "name": "mpc8349a", + "typename": "", + "static": false + }, + { + "name": "401d2", + "typename": "", + "static": false + }, + { + "name": "g2gp", + "typename": "", + "static": false + }, + { + "name": "stb25", + "typename": "", + "static": false + }, + { + "name": "750cl_v1.0", + "typename": "", + "static": false + }, + { + "name": "7457a_v1.1", + "typename": "", + "static": false + }, + { + "name": "g2legp3", + "typename": "", + "static": false + }, + { + "name": "mpc8347t", + "typename": "", + "static": false + }, + { + "name": "mpc8533_v10", + "typename": "", + "static": false + }, + { + "name": "401", + "typename": "", + "static": false + }, + { + "name": "440-xilinx", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v10", + "typename": "", + "static": false + }, + { + "name": "mpc8347ap", + "typename": "", + "static": false + }, + { + "name": "604e_v1.0", + "typename": "", + "static": false + }, + { + "name": "7457a_v1.2", + "typename": "", + "static": false + }, + { + "name": "7400_v2.0", + "typename": "", + "static": false + }, + { + "name": "603e7v1", + "typename": "", + "static": false + }, + { + "name": "7447_v1.0", + "typename": "", + "static": false + }, + { + "name": "603e_v4.1", + "typename": "", + "static": false + }, + { + "name": "603e_v1.1", + "typename": "", + "static": false + }, + { + "name": "npe4gs3", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v11", + "typename": "", + "static": false + }, + { + "name": "970fx_v1.0", + "typename": "", + "static": false + }, + { + "name": "604e_v2.4", + "typename": "", + "static": false + }, + { + "name": "7457_v1.0", + "typename": "", + "static": false + }, + { + "name": "e300c4", + "typename": "", + "static": false + }, + { + "name": "603e7v2", + "typename": "", + "static": false + }, + { + "name": "7447_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v10", + "typename": "", + "static": false + }, + { + "name": "mpc5200_v12", + "typename": "", + "static": false + }, { "name": "power8e_v2.1", "typename": "", "static": false }, + { + "name": "750l_v2.0", + "typename": "", + "static": false + }, + { + "name": "mpc8343a", + "typename": "", + "static": false + }, + { + "name": "mpc8347eap", + "typename": "", + "static": false + }, + { + "name": "7457_v1.1", + "typename": "", + "static": false + }, + { + "name": "e600", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.0", + "typename": "", + "static": false + }, + { + "name": "740_v1.0", + "typename": "", + "static": false + }, + { + "name": "7448_v1.0", + "typename": "", + "static": false + }, + { + "name": "750l_v2.1", + "typename": "", + "static": false + }, + { + "name": "7457_v1.2", + "typename": "", + "static": false + }, + { + "name": "750_v1.0", + "typename": "", + "static": false + }, + { + "name": "745_v2.3", + "typename": "", + "static": false + }, + { + "name": "745_v1.0", + "typename": "", + "static": false + }, + { + "name": "7448_v1.1", + "typename": "", + "static": false + }, + { + "name": "755_v1.0", + "typename": "", + "static": false + }, + { + "name": "power7_v2.3", + "typename": "", + "static": false + }, + { + "name": "npe405h2", + "typename": "", + "static": false + }, + { + "name": "745_v2.4", + "typename": "", + "static": false + }, + { + "name": "745_v1.1", + "typename": "", + "static": false + }, + { + "name": "603e7t", + "typename": "", + "static": false + }, + { + "name": "mpc8572", + "typename": "", + "static": false + }, + { + "name": "g2legp", + "typename": "", + "static": false + }, + { + "name": "755_v2.4", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v20", + "typename": "", + "static": false + }, + { + "name": "755_v1.1", + "typename": "", + "static": false + }, + { + "name": "750fl", + "typename": "", + "static": false + }, + { + "name": "750cx_v1.0", + "typename": "", + "static": false + }, + { + "name": "745_v2.5", + "typename": "", + "static": false + }, + { + "name": "mpc8349", + "typename": "", + "static": false + }, + { + "name": "power9_v2.0", + "typename": "", + "static": false + }, + { + "name": "7447a_v1.0", + "typename": "", + "static": false + }, + { + "name": "755_v2.5", + "typename": "", + "static": false + }, + { + "name": "mpc8548_v21", + "typename": "", + "static": false + }, + { + "name": "603e_v4", + "typename": "", + "static": false + }, + { + "name": "403gb", + "typename": "", + "static": false + }, + { + "name": "7450_v1.0", + "typename": "", + "static": false + }, + { + "name": "mpc8543_v20", + "typename": "", + "static": false + }, + { + "name": "755_v2.6", + "typename": "", + "static": false + }, + { + "name": "e5500", + "typename": "", + "static": false + }, + { + "name": "mpc8568", + "typename": "", + "static": false + }, + { + "name": "mpc8343ea", + "typename": "", + "static": false + }, + { + "name": "g2", + "typename": "", + "static": false + }, + { + "name": "403gc", + "typename": "", + "static": false + }, + { + "name": "mpc8541_v10", + "typename": "", + "static": false + }, + { + "name": "7455_v1.0", + "typename": "", + "static": false + }, + { + "name": "750cxe_v3.0", + "typename": "", + "static": false + }, + { + "name": "603e7", + "typename": "", + "static": false + }, + { + "name": "power5+_v2.1", + "typename": "", + "static": false + }, + { + "name": "g2legp1", + "typename": "", + "static": false + }, + { + "name": "7441_v2.3", + "typename": "", + "static": false + }, + { + "name": "mpc8541_v11", + "typename": "", + "static": false + }, + { + "name": "750cxe_v3.1", + "typename": "", + "static": false + }, + { + "name": "7410_v1.3", + "typename": "", + "static": false + }, + { + "name": "7451_v2.3", + "typename": "", + "static": false + }, + { + "name": "7400_v2.7", + "typename": "", + "static": false + }, + { + "name": "e500_v10", + "typename": "", + "static": false + }, + { + "name": "603e_v2.2", + "typename": "", + "static": false + }, + { + "name": "970fx_v2.1", + "typename": "", + "static": false + }, + { + "name": "7410_v1.4", + "typename": "", + "static": false + }, + { + "name": "mpc8567e", + "typename": "", + "static": false + }, + { + "name": "604e_v2.2", + "typename": "", + "static": false + }, + { + "name": "7400_v2.8", + "typename": "", + "static": false + }, + { + "name": "740e", + "typename": "", + "static": false + }, + { + "name": "e300c2", + "typename": "", + "static": false + }, + { + "name": "750gl", + "typename": "", + "static": false + }, + { + "name": "mpc8540_v10", + "typename": "", + "static": false + }, + { + "name": "7448_v2.0", + "typename": "", + "static": false + }, + { + "name": "mpc5200_v10", + "typename": "", + "static": false + }, + { + "name": "7400_v2.9", + "typename": "", + "static": false + }, + { + "name": "mpc8533e_v11", + "typename": "", + "static": false + }, + { + "name": "745_v2.0", + "typename": "", + "static": false + }, + { + "name": "e500mc", + "typename": "", + "static": false + }, + { + "name": "e300c3", + "typename": "", + "static": false + }, + { + "name": "740_v2.1", + "typename": "", + "static": false + }, + { + "name": "7448_v2.1", + "typename": "", + "static": false + }, + { + "name": "750l_v3.2", + "typename": "", + "static": false + }, + { + "name": "mpc8555_v11", + "typename": "", + "static": false + }, + { + "name": "mpc5200_v11", + "typename": "", + "static": false + }, + { + "name": "740p", + "typename": "", + "static": false + }, + { + "name": "750_v2.1", + "typename": "", + "static": false + }, + { + "name": "745_v2.1", + "typename": "", + "static": false + }, + { + "name": "g2h4", + "typename": "", + "static": false + }, + { + "name": "740_v2.2", + "typename": "", + "static": false + }, + { + "name": "755_v2.1", + "typename": "", + "static": false + }, + { + "name": "mpc603", + "typename": "", + "static": false + }, + { + "name": "e500v2_v21", + "typename": "", + "static": false + }, + { + "name": "750_v2.2", + "typename": "", + "static": false + }, + { + "name": "745_v2.2", + "typename": "", + "static": false + }, + { + "name": "405crb", + "typename": "", + "static": false + }, + { + "name": "mpc8347et", + "typename": "", + "static": false + }, + { + "name": "755_v2.2", + "typename": "", + "static": false + }, + { + "name": "e500v2_v22", + "typename": "", + "static": false + }, + { + "name": "750cx_v2.1", + "typename": "", + "static": false + }, + { + "name": "e6500", + "typename": "", + "static": false + }, + { + "name": "e500_v20", + "typename": "", + "static": false + }, + { + "name": "7450_v2.0", + "typename": "", + "static": false + }, + { + "name": "power8_v2.0", + "typename": "", + "static": false + }, + { + "name": "g2hip3", + "typename": "", + "static": false + }, + { + "name": "405crc", + "typename": "", + "static": false + }, + { + "name": "755_v2.3", + "typename": "", + "static": false + }, + { + "name": "401e2", + "typename": "", + "static": false + }, + { + "name": "mpc8560_v10", + "typename": "", + "static": false + }, { "name": "mpc8568e", "typename": "", "static": false + }, + { + "name": "750cx_v2.2", + "typename": "", + "static": false + }, + { + "name": "mpc8540_v20", + "typename": "", + "static": false + }, + { + "name": "mpc8377e", + "typename": "", + "static": false + }, + { + "name": "7450_v2.1", + "typename": "", + "static": false + }, + { + "name": "7445_v3.4", + "typename": "", + "static": false + }, + { + "name": "7445_v2.1", + "typename": "", + "static": false + }, + { + "name": "g2hip4", + "typename": "", + "static": false + }, + { + "name": "601_v2", + "typename": "", + "static": false + }, + { + "name": "7400_v1.0", + "typename": "", + "static": false + }, + { + "name": "7455_v3.4", + "typename": "", + "static": false + }, + { + "name": "603e_v1.4", + "typename": "", + "static": false + }, + { + "name": "750cxe_v2.4", + "typename": "", + "static": false + }, + { + "name": "403ga", + "typename": "", + "static": false + }, + { + "name": "7455_v2.1", + "typename": "", + "static": false + }, + { + "name": "603e_v3", + "typename": "", + "static": false + }, + { + "name": "405ep", + "typename": "", + "static": false + }, + { + "name": "mpc8540_v21", + "typename": "", + "static": false + }, + { + "name": "g2ls", + "typename": "", + "static": false + }, + { + "name": "mpc8347at", + "typename": "", + "static": false + }, + { + "name": "npe405l", + "typename": "", + "static": false + }, + { + "name": "603", + "typename": "", + "static": false + }, + { + "name": "mpc8541e_v10", + "typename": "", + "static": false + }, + { + "name": "7400_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8567", + "typename": "", + "static": false + }, + { + "name": "mpc8347p", + "typename": "", + "static": false + }, + { + "name": "7441_v2.1", + "typename": "", + "static": false + }, + { + "name": "7410_v1.1", + "typename": "", + "static": false + }, + { + "name": "e500v2_v10", + "typename": "", + "static": false + }, + { + "name": "750cl_v2.0", + "typename": "", + "static": false + }, + { + "name": "750fx_v2.3", + "typename": "", + "static": false + }, + { + "name": "604", + "typename": "", + "static": false + }, + { + "name": "mpc8541e_v11", + "typename": "", + "static": false + }, + { + "name": "750fx_v1.0", + "typename": "", + "static": false + }, + { + "name": "405gpr", + "typename": "", + "static": false + }, + { + "name": "mpc8343e", + "typename": "", + "static": false + }, + { + "name": "mpc8347eat", + "typename": "", + "static": false + }, + { + "name": "7410_v1.2", + "typename": "", + "static": false + }, + { + "name": "750gx_v1.0", + "typename": "", + "static": false + }, + { + "name": "7400_v2.6", + "typename": "", + "static": false + }, + { + "name": "440epb", + "typename": "", + "static": false + }, + { + "name": "mpc5200b_v21", + "typename": "", + "static": false + }, + { + "name": "405d4", + "typename": "", + "static": false + }, + { + "name": "970fx_v2.0", + "typename": "", + "static": false + }, + { + "name": "750gx_v1.1", + "typename": "", + "static": false + }, + { + "name": "mpc8378", + "typename": "", + "static": false + }, + { + "name": "mpc8560_v20", + "typename": "", + "static": false + }, + { + "name": "460exb", + "typename": "", + "static": false + }, + { + "name": "401f2", + "typename": "", + "static": false + }, + { + "name": "e300c1", + "typename": "", + "static": false + }, + { + "name": "power7+_v2.1", + "typename": "", + "static": false + }, + { + "name": "440-xilinx-w-dfpu", + "typename": "", + "static": false + }, + { + "name": "mpc8378e", + "typename": "", + "static": false + }, + { + "name": "mpc8347ep", + "typename": "", + "static": false + }, + { + "name": "750l_v3.0", + "typename": "", + "static": false + }, + { + "name": "604r", + "typename": "", + "static": false + }, + { + "name": "750gx_v1.2", + "typename": "", + "static": false + }, + { + "name": "power10_v1.0", + "typename": "", + "static": false + }, + { + "name": "mpc8560_v21", + "typename": "", + "static": false + }, + { + "name": "970_v2.2", + "typename": "", + "static": false + }, + { + "name": "mpc8533e_v10", + "typename": "", + "static": false + }, + { + "name": "mpc8379", + "typename": "", + "static": false } ], "id": "libvirt-2" @@ -24428,73 +25565,12 @@ "return": [ { "hotpluggable-cpus": false, - "name": "ref405ep", + "name": "powernv9", "numa-mem-supported": false, - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "sam460ex", - "numa-mem-supported": false, - "default-cpu-type": "460exb-powerpc64-cpu", - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "none", - "numa-mem-supported": false, - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "virtex-ml507", - "numa-mem-supported": false, - "default-cpu-type": "440-xilinx-powerpc64-cpu", - "cpu-max": 1, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "ppce500", - "numa-mem-supported": false, - "default-cpu-type": "e500v2_v30-powerpc64-cpu", - "cpu-max": 32, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "mpc8544ds", - "numa-mem-supported": false, - "default-cpu-type": "e500v2_v30-powerpc64-cpu", - "cpu-max": 15, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-3.1", - "numa-mem-supported": true, - "default-cpu-type": "power8_v2.0-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-2.12-sxxm", - "numa-mem-supported": true, - "default-cpu-type": "power8_v2.0-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "bamboo", - "numa-mem-supported": false, - "default-cpu-type": "440epb-powerpc64-cpu", - "cpu-max": 1, - "deprecated": false + "default-cpu-type": "power9_v2.0-powerpc64-cpu", + "cpu-max": 2048, + "deprecated": false, + "alias": "powernv" }, { "hotpluggable-cpus": false, @@ -24504,38 +25580,6 @@ "cpu-max": 1, "deprecated": false }, - { - "hotpluggable-cpus": true, - "name": "pseries-3.0", - "numa-mem-supported": true, - "default-cpu-type": "power8_v2.0-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": true, - "name": "pseries-2.10", - "numa-mem-supported": true, - "default-cpu-type": "power8_v2.0-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "prep", - "numa-mem-supported": false, - "default-cpu-type": "602-powerpc64-cpu", - "cpu-max": 1, - "deprecated": true - }, - { - "hotpluggable-cpus": true, - "name": "pseries-2.11", - "numa-mem-supported": true, - "default-cpu-type": "power8_v2.0-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, { "hotpluggable-cpus": true, "name": "pseries-2.12", @@ -24554,12 +25598,51 @@ }, { "hotpluggable-cpus": false, - "name": "mac99", + "name": "ref405ep", "numa-mem-supported": false, - "default-cpu-type": "970fx_v3.1-powerpc64-cpu", "cpu-max": 1, "deprecated": false }, + { + "hotpluggable-cpus": false, + "name": "pseries-2.2", + "numa-mem-supported": true, + "default-cpu-type": "power7_v2.3-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "40p", + "numa-mem-supported": false, + "default-cpu-type": "604-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-4.2", + "numa-mem-supported": true, + "default-cpu-type": "power9_v2.0-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-2.12-sxxm", + "numa-mem-supported": true, + "default-cpu-type": "power8_v2.0-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "pseries-2.3", + "numa-mem-supported": true, + "default-cpu-type": "power7_v2.3-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, { "hotpluggable-cpus": false, "name": "pseries-2.6", @@ -24568,6 +25651,30 @@ "cpu-max": 1024, "deprecated": false }, + { + "hotpluggable-cpus": false, + "name": "sam460ex", + "numa-mem-supported": false, + "default-cpu-type": "460exb-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "virtex-ml507", + "numa-mem-supported": false, + "default-cpu-type": "440-xilinx-powerpc64-cpu", + "cpu-max": 1, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-3.0", + "numa-mem-supported": true, + "default-cpu-type": "power8_v2.0-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, { "hotpluggable-cpus": true, "name": "pseries-2.7", @@ -24577,11 +25684,10 @@ "deprecated": false }, { - "hotpluggable-cpus": true, - "name": "pseries-2.8", - "numa-mem-supported": true, - "default-cpu-type": "power8_v2.0-powerpc64-cpu", - "cpu-max": 1024, + "hotpluggable-cpus": false, + "name": "none", + "numa-mem-supported": false, + "cpu-max": 1, "deprecated": false }, { @@ -24596,25 +25702,9 @@ }, { "hotpluggable-cpus": true, - "name": "pseries-4.2", + "name": "pseries-2.8", "numa-mem-supported": true, - "default-cpu-type": "power9_v2.0-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "pseries-2.4", - "numa-mem-supported": true, - "default-cpu-type": "power7_v2.3-powerpc64-cpu", - "cpu-max": 1024, - "deprecated": false - }, - { - "hotpluggable-cpus": false, - "name": "pseries-2.5", - "numa-mem-supported": true, - "default-cpu-type": "power7_v2.3-powerpc64-cpu", + "default-cpu-type": "power8_v2.0-powerpc64-cpu", "cpu-max": 1024, "deprecated": false }, @@ -24627,16 +25717,16 @@ "deprecated": false }, { - "hotpluggable-cpus": true, - "name": "pseries-4.1", - "numa-mem-supported": true, - "default-cpu-type": "power9_v2.0-powerpc64-cpu", - "cpu-max": 1024, + "hotpluggable-cpus": false, + "name": "bamboo", + "numa-mem-supported": false, + "default-cpu-type": "440epb-powerpc64-cpu", + "cpu-max": 1, "deprecated": false }, { "hotpluggable-cpus": false, - "name": "pseries-2.2", + "name": "pseries-2.1", "numa-mem-supported": true, "default-cpu-type": "power7_v2.3-powerpc64-cpu", "cpu-max": 1024, @@ -24649,9 +25739,17 @@ "cpu-max": 1, "deprecated": false }, + { + "hotpluggable-cpus": true, + "name": "pseries-2.10", + "numa-mem-supported": true, + "default-cpu-type": "power8_v2.0-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, { "hotpluggable-cpus": false, - "name": "pseries-2.3", + "name": "pseries-2.4", "numa-mem-supported": true, "default-cpu-type": "power7_v2.3-powerpc64-cpu", "cpu-max": 1024, @@ -24659,9 +25757,17 @@ }, { "hotpluggable-cpus": false, - "name": "pseries-2.1", + "name": "mpc8544ds", + "numa-mem-supported": false, + "default-cpu-type": "e500v2_v30-powerpc64-cpu", + "cpu-max": 15, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-4.1", "numa-mem-supported": true, - "default-cpu-type": "power7_v2.3-powerpc64-cpu", + "default-cpu-type": "power9_v2.0-powerpc64-cpu", "cpu-max": 1024, "deprecated": false }, @@ -24675,20 +25781,51 @@ }, { "hotpluggable-cpus": false, - "name": "powernv9", + "name": "powernv10", "numa-mem-supported": false, - "default-cpu-type": "power9_v2.0-powerpc64-cpu", + "default-cpu-type": "power10_v1.0-powerpc64-cpu", "cpu-max": 2048, - "deprecated": false, - "alias": "powernv" + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-2.11", + "numa-mem-supported": true, + "default-cpu-type": "power8_v2.0-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false }, { "hotpluggable-cpus": false, - "name": "40p", + "name": "pseries-2.5", + "numa-mem-supported": true, + "default-cpu-type": "power7_v2.3-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": true, + "name": "pseries-3.1", + "numa-mem-supported": true, + "default-cpu-type": "power8_v2.0-powerpc64-cpu", + "cpu-max": 1024, + "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "mac99", "numa-mem-supported": false, - "default-cpu-type": "604-powerpc64-cpu", + "default-cpu-type": "970fx_v3.1-powerpc64-cpu", "cpu-max": 1, "deprecated": false + }, + { + "hotpluggable-cpus": false, + "name": "ppce500", + "numa-mem-supported": false, + "default-cpu-type": "e500v2_v30-powerpc64-cpu", + "cpu-max": 32, + "deprecated": false } ], "id": "libvirt-3" diff --git a/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.xml b/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.xml index 2847d48ed1..85fdb21c56 100644 --- a/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.xml +++ b/tests/qemucapabilitiesdata/caps_5.0.0.ppc64.xml @@ -28,7 +28,6 @@ - @@ -41,6 +40,7 @@ + @@ -110,6 +110,7 @@ + @@ -138,7 +139,6 @@ - @@ -157,6 +157,7 @@ + @@ -164,6 +165,7 @@ + @@ -172,24 +174,31 @@ + + + - 4001050 + + + + 4002050 0 42900241 - v4.1.0-2198-g9e583f2 + v4.2.0-2387-g3189e9d38c ppc64 + @@ -315,351 +324,353 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - + + - - - - - - - - - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + + + + + + + + - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - + - - - - - + + + + + + + + + - - - - - - - + + + + + + - - + + + + + + + @@ -785,344 +796,345 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - + + - - - - - - - - - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + + + + + + + + - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - + - - - - - + + + + + + + + + - - - - - - - + + + + + + - - + + + + + + diff --git a/tests/qemuxml2argvdata/default-video-type-ppc64.ppc64-latest.args b/tests/qemuxml2argvdata/default-video-type-ppc64.ppc64-latest.args index 795c5a5c58..5fadd1b61f 100644 --- a/tests/qemuxml2argvdata/default-video-type-ppc64.ppc64-latest.args +++ b/tests/qemuxml2argvdata/default-video-type-ppc64.ppc64-latest.args @@ -30,6 +30,4 @@ file=/tmp/lib/domain--1-default-video-type-p/master-key.aes \ -device usb-mouse,id=input1,bus=usb.0,port=2 \ -vnc 127.0.0.1:0 \ -device VGA,id=video0,vgamem_mb=16,bus=pci.0,addr=0x2 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-2.7.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-2.7.ppc64-latest.args index e177d06c8b..c34821c7e8 100644 --- a/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-2.7.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-2.7.ppc64-latest.args @@ -27,13 +27,13 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -no-shutdown \ -boot strict=on \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x3 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-3.1.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-3.1.ppc64-latest.args index 61f39f27fd..3d02221d3f 100644 --- a/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-3.1.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-3.1.ppc64-latest.args @@ -27,13 +27,13 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -no-shutdown \ -boot strict=on \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x3 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-4.2.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-4.2.ppc64-latest.args index e591db0d8c..fac43e4cc1 100644 --- a/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-4.2.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-default-cpu-kvm-pseries-4.2.ppc64-latest.args @@ -27,13 +27,13 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -no-shutdown \ -boot strict=on \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x3 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-2.7.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-2.7.ppc64-latest.args index 06946dcbd9..a2ffca1d30 100644 --- a/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-2.7.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-2.7.ppc64-latest.args @@ -27,13 +27,13 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -no-shutdown \ -boot strict=on \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x3 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-3.1.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-3.1.ppc64-latest.args index 6090a1bbad..01f84fde88 100644 --- a/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-3.1.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-3.1.ppc64-latest.args @@ -27,13 +27,13 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -no-shutdown \ -boot strict=on \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x3 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-4.2.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-4.2.ppc64-latest.args index 7804a7b220..3738ac7386 100644 --- a/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-4.2.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-default-cpu-tcg-pseries-4.2.ppc64-latest.args @@ -27,13 +27,13 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -no-shutdown \ -boot strict=on \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x2,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x3 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-pseries-graphics.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-pseries-graphics.ppc64-latest.args index b584525605..ba508ca79d 100644 --- a/tests/qemuxml2argvdata/ppc64-pseries-graphics.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-pseries-graphics.ppc64-latest.args @@ -27,9 +27,11 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -boot strict=on \ -device qemu-xhci,p2=15,p3=15,id=usb,bus=pci.0,addr=0x2 \ -device virtio-serial-pci,id=virtio-serial0,bus=pci.0,addr=0x3 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x4,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x4,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -netdev user,id=hostnet0 \ -device virtio-net-pci,netdev=hostnet0,id=net0,mac=52:54:00:a2:44:92,bus=pci.0,\ @@ -46,6 +48,4 @@ id=channel0,name=org.qemu.guest_agent.0 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x5 \ -object rng-random,id=objrng0,filename=/dev/urandom \ -device virtio-rng-pci,rng=objrng0,id=rng0,bus=pci.0,addr=0x6 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/ppc64-pseries-headless.ppc64-latest.args b/tests/qemuxml2argvdata/ppc64-pseries-headless.ppc64-latest.args index 8002aa79a7..072f81da60 100644 --- a/tests/qemuxml2argvdata/ppc64-pseries-headless.ppc64-latest.args +++ b/tests/qemuxml2argvdata/ppc64-pseries-headless.ppc64-latest.args @@ -28,9 +28,11 @@ file=/tmp/lib/domain--1-guest/master-key.aes \ -boot strict=on \ -device qemu-xhci,p2=15,p3=15,id=usb,bus=pci.0,addr=0x2 \ -device virtio-serial-pci,id=virtio-serial0,bus=pci.0,addr=0x3 \ --drive file=/var/lib/libvirt/images/guest.qcow2,format=qcow2,if=none,\ -id=drive-virtio-disk0 \ --device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x4,drive=drive-virtio-disk0,\ +-blockdev '{"driver":"file","filename":"/var/lib/libvirt/images/guest.qcow2",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"qcow2",\ +"file":"libvirt-1-storage"}' \ +-device virtio-blk-pci,scsi=off,bus=pci.0,addr=0x4,drive=libvirt-1-format,\ id=virtio-disk0,bootindex=1 \ -netdev user,id=hostnet0 \ -device virtio-net-pci,netdev=hostnet0,id=net0,mac=52:54:00:09:a4:37,bus=pci.0,\ @@ -43,6 +45,4 @@ id=channel0,name=org.qemu.guest_agent.0 \ -device virtio-balloon-pci,id=balloon0,bus=pci.0,addr=0x5 \ -object rng-random,id=objrng0,filename=/dev/urandom \ -device virtio-rng-pci,rng=objrng0,id=rng0,bus=pci.0,addr=0x6 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on diff --git a/tests/qemuxml2argvdata/tpm-emulator-spapr.ppc64-latest.args b/tests/qemuxml2argvdata/tpm-emulator-spapr.ppc64-latest.args index f4c8a062c1..00018e579f 100644 --- a/tests/qemuxml2argvdata/tpm-emulator-spapr.ppc64-latest.args +++ b/tests/qemuxml2argvdata/tpm-emulator-spapr.ppc64-latest.args @@ -29,9 +29,12 @@ file=/tmp/lib/domain--1-TPM-VM/master-key.aes \ -device pci-ohci,id=usb,bus=pci.0,addr=0x1 \ -device spapr-vscsi,id=scsi0,reg=0x00002000 \ -device spapr-vscsi,id=scsi1,reg=0x00003000 \ --drive file=/tmp/scsidisk.img,format=raw,if=none,id=drive-scsi1-0-0-0 \ +-blockdev '{"driver":"file","filename":"/tmp/scsidisk.img",\ +"node-name":"libvirt-1-storage","auto-read-only":true,"discard":"unmap"}' \ +-blockdev '{"node-name":"libvirt-1-format","read-only":false,"driver":"raw",\ +"file":"libvirt-1-storage"}' \ -device scsi-hd,bus=scsi1.0,channel=0,scsi-id=0,lun=0,\ -device_id=drive-scsi1-0-0-0,drive=drive-scsi1-0-0-0,id=scsi1-0-0-0,bootindex=1 \ +device_id=drive-scsi1-0-0-0,drive=libvirt-1-format,id=scsi1-0-0-0,bootindex=1 \ -chardev pty,id=charserial0 \ -device spapr-vty,chardev=charserial0,id=serial0,reg=0x30000000 \ -chardev pty,id=charserial1 \ @@ -40,6 +43,4 @@ device_id=drive-scsi1-0-0-0,drive=drive-scsi1-0-0-0,id=scsi1-0-0-0,bootindex=1 \ -chardev socket,id=chrtpm,path=/dev/test \ -device tpm-spapr,tpmdev=tpm-tpm0,id=tpm0,reg=0x00005000 \ -global spapr-nvram.reg=0x4000 \ --sandbox on,obsolete=deny,elevateprivileges=deny,spawn=deny,\ -resourcecontrol=deny \ -msg timestamp=on